0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>PCB设计>Mentor>

【PCB设计干货】DDR电路的PCB布局布线要求

【PCB设计干货】DDR电路的PCB布局布线要求

上期和大家聊的电源PCB设计的重要性,那本篇内容小编则给大家讲讲存储器的PCB设计建议,同样还是以大家最为熟悉的RK3588为例,详细介绍一下DDR模块电路的PCB设计要如何布局布线。 由于RK35...

2023-08-24 标签:DDRpcbPCBPCB布局PCB设计RK3588华秋商城 395

阿昆聊电子制造流行的可生产性设计DFM是在什么背景下出现?

阿昆聊电子制造流行的可生产性设计DFM是在什么背景下出现?

我们的硬件电子产品不论是电视机、电话机或其它电子产品,传统上一般是经历产品设计、小批量试产、量产,销售各个阶段串行完成,但设计阶段没有提前全面甚至没有考虑制造要求,结果交...

2021-05-21 标签:DFMpcbPCBPCBAPCB设计电子制造 468

CEVT借助Mentor Volcano VSA COM Designer,汽车网络的设计时间缩短50%

VSA COM Designer 工具是 Volcano VSx™ 设计工具套件的一部分,后者为基于 AUTOSAR 的汽车系统设计流程(包括 E/E 架构设计、应用软件开发、虚拟验证和软件测试等)提供了强大的手段。...

2017-12-05 标签:Mentor车载网络 3438

Siemens 收购 Solido Design Automation,以增强对IC市场的承诺

Siemens 欲收购基于机器学习的对变化性可感知的设计和特征提取软件的领先供应商,强化对 Mentor IC 设计和验证技术的承诺。...

2017-11-28 标签:ICSIEMENS模拟混合信号 1367

Mentor Graphics Verification Academy 新增 SystemVerilog 课程和模式库以扩展工程师的专业

Mentor Graphics 公司(纳斯达克代码:MENT)为 Verification Academy 增加全新的 SystemVerilog 课程和模式库以帮助验证工程师提高专业技能、生产率及设计质量。...

2016-08-10 标签:edaMentor 1809

台积电和Mentor Graphics合作:10nm工艺认证!

俄勒冈州威尔逊维尔,2015 年 4 月 6 日—Mentor Graphics公司(纳斯达克代码:MENT )今天宣布,TSMC和Mentor Graphics已经达到在10nm EDA认证合作的第一个里程碑...

2015-04-20 标签:10nmMentorTSMC 1615

Mentor技术专家:如何为复杂的汽车冷却系统工作

Mentor技术专家:如何为复杂的汽车冷却系统工作

Mentor Graphics(明导)近日发布一份题为《设计一个复杂的汽车冷却系统》的研究报告,介绍了明导电子最近推出的一个有效结合和利用一维 CFD 工具 Flowmaster和三维工具FloEFD特长的解决方案,如...

2013-01-22 标签:Mentor冷却系统汽车电子 1121

融合时序分析和SI的工具

目前用户最需要一个能将时序分析和SI融为一体的工具,并且界面优化,设置简单,同时又包括Design KIT。ICX Tau如果能够象Quantum-SI一样性能得到改进,必将提升人气,更受客户青睐...

2011-07-02 标签:Mentor时序分析 1812

Mentor Olympus-SoC布局绕线系统

Olympus-SoC布局绕线系统开发了一套任务导向型平行处理的关键技术来处理这个问题。明导的任务导向型平行处理技术是微粒型(fine-grained)非闭锁式多线程技术...

2011-01-28 标签:MentorOlympus-SoC布局绕线 1485

下一代STA工具Tekton介绍

下一代STA工具Tekton介绍

  作为下一代STA工具,Tekton提供了突破性的单CPU多模/多角性分析性能,能够在几分钟内为上千万单元级的电路提供时序更新。同时,它还完全支持串扰分析和AOCV分析,并且内嵌...

2010-12-14 标签:STATekton 2401

Mentor的DxDesigner及ExpediTIon P

  根据课题需要,我们要搭建一个雷达信号处理的硬件平台。在此平台上,有4个DSP(C’6203)及多个高速同步RAM,总线频率100Mhz。我们选用我所新近购买的Mentor公司的PCB工具作为设...

2010-11-26 标签:DxDMentor 7071

×字形滤波窗口在Matlab自适应中值滤波算法中的应用

×字形滤波窗口在Matlab自适应中值滤波算法中的应用

本文对Matlab工具箱中的中值滤波算法进行改进,提出一种基于×字形滤波窗口的自适应中值滤波算法,在有效去除噪声的同时,较好地保持了图像细节,缩短了运行时间。1中...

2010-07-08 标签: 2453

Mentor Graphics 与TSMC携手为设计

参考流程 8.0 版(Reference Flow 8.0)提供高级DFM 性能WILSONVILLE, Ore. and HSINCHU, Taiwan – 2007 年6 月5 日 –明导公司 (Mentor Graphics 纳斯达克代号: MENT) 与台湾半导体制造...

2010-06-20 标签:Mentor 1066

Mentor Graphics应用之PCB设计复用

Mentor Graphics应用之PCB设计复用

Mentor Graphics应用之PCB设计复用 引言  随PCB板趋向小型化、多层化与复杂化。特别是高速印制板,需要经过很长时间的反复调试才可以定型...

2010-04-28 标签:MentorpcbPCB 1441

PLC和MENTORⅡ设计的智能钳型吊电气控制系统

PLC和MENTORⅡ设计的智能钳型吊电气控制系统

PLC和MENTORⅡ设计的智能钳型吊电气控制系统 概述:本文研究了全数字智能钳型吊电气控制系统的设计方法,设计出了以PLC及MENTORⅡ为核心的控制方...

2010-04-28 标签:Mentorplc 4253

基于Mentor的PCB工具采用拓朴布线技术

基于Mentor的PCB工具采用拓朴布线技术 印刷电路板设计解决方案供货商明导国际(Mentor Graphics),宣布推出一种突破性...

2010-04-28 标签:MentorpcbPCB 976

Mentor Graphics Board Station

Mentor Graphics Board Station

Mentor Graphics Board Station PCB设计复用方法 本文介绍了一种 PCB 设计复用方法,它是基于 Mentor Graphics 的印制电路板设计工具 Board Station 进行的。一个设...

2010-03-21 标签:Mentor 2670

Mentor en2000.5的安装方法步骤

Mentor en2000.5的安装方法步骤 1、在环境变量中添加 MGLS_LICENSE_FILE = c:flexlmlicense.dat LM_LICENSE_FILE = c:flexlmlicense.dat 2、...

2008-03-22 标签:Mentor 1897

Mentor Graphics的PCB设计复用方法

Mentor Graphics的PCB设计复用方法

Mentor Graphics的PCB设计复用方法 引言  随着科技的不断发展,PCB板趋向小型化、多层化与复杂化。特别是高速印制板,需要...

2008-03-22 标签:Mentor 1696

mentor expedition的功能说明

mentor expedition的功能说明 一、Mentor Graphics Expedition 系列PCB 软件技术特点概述1、基于WINDOWS NT/WIN2000/98 平台Expedition 的母平台为WINDOWS 操作系统,能在W...

2008-03-22 标签:Mentor 6385

Mentor如何设置快捷键

Mentor如何设置快捷键 打开toolscustomize窗口,点击accelerators标签,在左窗口选择所需的命令,在press new shortcut 中输入快捷键,点Assign按钮加入。在此设定...

2008-03-22 标签:Mentor 8944

orcad capture转换到mentor的方法

orcad capture转换到mentor的方法

orcad capture转换到mentor的方法 ORCAD CAPTURE-->MENTOR PCB EXPEDITION我用的工具是WG自带的ORCAD-EXPEDITION interface...

2008-03-22 标签:Mentor 3073

编辑推荐厂商产品技术软件/工具OS/语言教程专题