电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>汽车电子>新思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

新思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

西门子布宣布与电携手优化芯片设计过程

用于集成电路(IC)验证sign-off的Calibre nmPlatform工具现已获得电的N2工艺认证,可为早期采用N2工艺技术的厂商提供全面支持。
2023-10-20 12:37:2235

思科技携手公司加速2nm工艺创新,为先进SoC设计提供经认证的数字和模拟设计流程

。 Synopsys.ai™ EDA解决方案中的模拟设计迁移流程可实现公司工艺节点的快速设计迁移。 新思科技接口IP和基础IP广泛产品组合正在开发中,将助力缩短设计周期并降低集成风险。   加利福尼亚州桑尼维尔, 2023 年 10 月 18 日 – 新思科技(Synopsys, I
2023-10-19 11:44:2251

晶圆凸起封装工艺技术简介

。  随着越来越多晶圆焊凸专业厂家将焊膏印刷工艺用于WLP封装,批量压印技术开始在半导体封装领域中广泛普及。然而,大型EMS企业也走进了WLP领域。封装和板卡之间的边界,以及封装与组装工艺之间的边界日渐模糊,迫使企业必须具备晶圆和芯片工艺技术来为客户服务`
2011-12-01 14:33:02

思科IP成功在台公司3nm工艺实现流片

基于公司N3E工艺技术的新思科IP能够为希望降低集成风险并加快首次流片成功的芯片制造商建立竞争优势
2023-08-24 17:37:47490

两大IP扩大IP合作,新思科技携手三星加速新兴领域复杂SoC设计

存储器、TCAM和GPIO,可以在各先进节点上提供行业领先的功耗、性能和面积(PPA) 新思科IP集成到三星的工艺中,有助于确保ADAS、动力总成和雷达SoC的长期运行并提高可靠性 三星工艺中集成了广泛IP组合,并在新思科技经过认证的数字和定制设计流程的加持下,共同加速流片成功
2023-07-26 17:40:03142

锐成芯微打造高品质的存储IP产品线

代表之一,携具有自主知识产权的高可靠嵌入式存储(eNVM)IP及芯片定制服务等成果亮相,并在汽车电子与应用论坛中带来了《ActtIP的设计与测试》主题分享。       锐成芯微器件技术经理洪东在大会上分享了公司存储IP的研发经验
2023-07-20 17:22:16590

芯片也能“开天眼”?新思科技携手公司实现SLM PVT监控IP流片

的“耳目”。 新思科技一直走在芯片监控解决方案的前沿,而这些解决方案是新思科技芯片生命周期管理(SLM)系列的一部分。最近, 新思科技在台公司N5N3E工艺上完成了PVT监控IP测试芯片的流片 。这是一个里程碑式的成功。从此,那些准备在这些先进节点
2023-07-11 17:40:01261

Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向电 3nm 工艺N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-07-10 09:26:20229

思科技与三星扩大IP合作,加速新兴领域先进SoC设计

面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP适用于USB、PCI Express、112G以太网
2023-06-30 13:40:14285

RISC-V IP功能安全的追求

IP厂商本身在符合ISO26262规范设计的同时,也会在通过认证后,把诊断分析表格和安全手册之类的资料交给授权方,从而减少他们设计芯片取得ISO26262认证的时间。如今,不少RISC-V IP公司也都相继推出的安全IP,为RISC-V上车保驾护航。   晶心科技
2023-05-29 01:20:001119

Multi-Die系统设计里程碑:UCIe PHY IP在台公司N3E工艺上成功流片

思科技一直与公司保持合作,利用公司先进的FinFET工艺提供高质量的IP。近日,新思科技宣布在台公司N3E工艺上成功完成了Universal Chiplet Interconnect
2023-05-25 06:05:02250

思科技联合公司和Ansys升级Multi-Die全方位解决方案,推动系统创新

集成以实现下一阶段的系统可扩展性和功能。得益于与公司在3DFabric技术和3Dblox标准中的合作,新思科技能够为公司先进的7纳米、5纳米和3纳米工艺技术上的多裸晶芯片系统设计,提供业界领先的全方位EDA和IP解决方案。公司先进工
2023-05-22 22:25:02214

Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向电 3nm 工艺N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是
2023-05-19 16:25:12642

Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向电 3nm 工艺N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-05-19 15:23:07524

思科技、公司和Ansys强化生态系统合作,共促多裸晶芯片系统发展

3DFabric™技术和3Dblox™标准中的合作,新思科技能够为公司先进的7纳米、5纳米和3纳米工艺技术上的多裸晶芯片系统设计,提供业界领先的全方位EDA和IP解决方案。公司先进工艺技术集成
2023-05-18 16:04:08620

思科技、公司和Ansys强化生态系统合作,共促多裸晶芯片系统发展

三家全球领先公司紧密协作,以满足基于公司先进技术的设计在芯片、封装和系统等方面的挑战 加利福尼亚州山景城, 2023 年 5 月 17 日 – 新思科技(Synopsys, Inc.,纳斯达克
2023-05-17 15:43:06205

顶级组合!新思科技联合三方推出全新射频设计流程,引领自动驾驶新革命

针对台公司16FFC的79GHz毫米波射频设计流程加速自动驾驶系统中射频集成电路的开发。 新思科技、Ansys和是德科技近日宣布,推出针对台公司16纳米精简型工艺技术(16FFC)的全新
2023-05-17 05:45:01130

思科技利用优化的EDA流程快速啟动N2 製程设计

)电晶体结构,在相同功耗下可提升速度达 15% ,或在相同速度下可减少30%的功率,同时还能提高晶片密度。新思科技对整体 EDA技术的大量投入让设计人员能够快速啟动N2製程设计,不仅為SoC带来差异化同时也能缩短上市时程。 公司设计基础架构管理部负责人Dan Kochpatch
2023-05-11 19:02:351857

西门子EDA Calibre 平台获电先进N3E和N2工艺认证

™ 软件、Calibre® PERC™软件、Calibre® xACT™软件和 Calibre® nmLVS 软件。 此外西门子的 Analog FastSPICE 平台也已经获得N5AN3E 和 N2 工艺认证。而且 mPower 数
2023-05-11 18:25:301642

Cadence定制设计迁移流程加快N3E和N2工艺技术的采用速度

,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程由 Cadence 和电共同开发,旨在实现定制和模拟 IC 设计在台工艺技术之间的自动迁移。与人工迁移相比,已使用该流程的客户成功地将迁移时间缩短了 2.5 倍。
2023-05-06 15:02:15610

Cadence成功流片基于N3E工艺的16G UCIe先进封装 IP

来源:Cadence楷登 2023年4月26日,楷登电子近日宣布基于电 3nm(N3E)工艺技术的 Cadence® 16G UCIe™ 2.5D 先进封装 IP 成功流片。该 IP 采用
2023-04-27 16:35:40273

量产发布!国民技术首款MCU N32A455上市

2023年2月20日,国民技术在深圳正式推出兼具通用性、硬件安全性和高可靠性等优势特性的N32A455系列车MCU并宣布量产。这是继N32S032EAL5+安全芯片之后,国民技术发布
2023-03-18 10:27:55352

英飞凌AIGW50N65H5IGBT参数特点

编辑-Z Infineon/英飞凌AIGW50N65H5IGBT参数: 型号:AIGW50N65H5 脉冲集电极电流(ICpuls):150A 功耗(Ptot):270W 工作结温度(Tvj
2023-02-24 15:19:350

量产发布!国民技术首款MCU N32A455上市

2023年2月20日,国民技术在深圳正式推出 兼具通用性、硬件安全性和高可靠性等优势特性的N32A455系列车MCU 并宣布量产。这是继N32S032EAL5+安全芯片之后,国民技术
2023-02-21 13:51:27394

国民技术首款MCU N32A455量产发布 支持DSP指令和MPU

  2023年2月20日,国民技术在深圳正式推出兼具通用性、硬件安全性和高可靠性等优势特性的N32A455系列车MCU并宣布量产。这是继N32S032EAL5+安全芯片之后,国民技术
2023-02-20 19:32:341451

思科技连续12年获公司“OIP年度合作伙伴”,携手引领芯片创新

摘要: 新思科技连续12年被评为“公司OIP年度合作伙伴” 该合作推动了多裸晶芯片系统的发展和先进节点设计 奖项涵盖数字和定制设计、IP、以及基于云的解决方案 推出毫米波(mmWave)射频
2022-12-15 10:48:45278

思科技连续12年获公司 “OIP年度合作伙伴”,携手引领芯片创新

思科技连续12年被评为“公司OIP年度合作伙伴” 该合作推动了多裸晶芯片系统的发展和先进节点设计 奖项涵盖数字和定制设计、IP、以及基于云的解决方案 推出毫米波(mmWave)射频(RF
2022-12-14 18:45:02424

思科面向推出全面EDA和IP解决方案

     新思科技(Synopsys, Inc.)近日宣布,推出全面EDA和IP解决方案,面向采用了公司先进N7、N5N3工艺技术的2D/2.5D/3D多裸晶芯片系统。基于与公司
2022-12-01 14:10:19424

Ansys多物理场解决方案荣获N4工艺技术和FINFLEX™架构认证

Ansys凭借实现灵活的功耗/性能权衡,通过N3E工艺技术创新型FINFLEX架构认证   主要亮点 Ansys Redhawk-SC与Ansys Totem电源完整性平台荣获N
2022-11-17 15:31:57554

思科面向公司先进技术推出多裸晶芯片设计解决方案,共同推动系统创新

为满足客户对异构计算密集型应用的复杂要求,新思科技(Synopsys,Inc.,纳斯达克股票代码:SNPS)近日宣布,推出业界领先的全面EDA和IP解决方案,面向采用了公司先进N7、N5N
2022-11-16 16:25:43737

思科技、Ansys和是德科技推出面向公司16FFC工艺的全新毫米波参考流程,持续加速5G/6G SoC开发效率

为满足5G/6G SoC对性能和功耗的严苛需求,新思科技(Synopsys,Inc.,纳斯达克股票代码:SNPS)、Ansys和是德科技近日宣布,推出针对台公司16纳米精简型工艺技术(16FFC
2022-11-16 16:24:19434

四方联合,面向16FFC工艺的射频毫米波设计流程将引领5G/6G时代SoC设计

基于公司 16FFC 技 术 的设计流程 将领先的 RFIC 设计解决方案集成到现代生态系统中,实现功率、性能和效率优化  。 为满足5G/6G SoC对性能和功耗的严苛需求,新思科
2022-11-15 18:15:01479

新思携手公司推动半导体创新,以N3E工艺加速前沿应用芯片设计

3E工艺技术取得了多项关键成就,共同推动先进工艺节点的持续创新。新思科技经产品验证的数字和定制设计流程已在台公司N3E工艺上获得认证。此外,该流程和新思科广泛的基础IP、接口IP组合已经在台公司N3E工艺上实现了多项成功流片,助力合
2022-11-10 11:15:22374

思科技EDA和IP完整解决方案获公司N3E工艺认证,加速HPC、AI、和移动领域设计

,纳斯达克股票代码:SNPS )近日宣布,得益于与公司的长期合作,新思科技针对台公司N3E工艺技术取得了多项关键成就,共同推动先进工艺节点的持续创新。新思科技经产品验证的数字和定制设计流程已在台公司N3E工艺上获得认证。此外,该流程和新思科广泛
2022-11-08 13:37:191233

Cadence数字和定制/模拟设计流程获得电最新N4P和N3E工艺认证

设计创新。客户已开始使用最新的工艺技术和经过认证的 Cadence 流程来实现最佳的功率、性能和面积(PPA)目标,并缩短上市时间。
2022-10-27 11:01:37722

(ASEMI)ON/安森美FGH40N60SMDIGBT规格书

(ASEMI)ON/安森美FGH40N60SMDIGBT规格书免费下载。
2022-10-17 16:36:122

重磅推出高精度RTC--INS5A8900,INS5A8804

高精度实时时钟芯片(RTC)国内一直处于空白状态。   大普通信全新推出高精度RTC系列--INS5A8900 INS5A8804,采用自主研发设计的芯片、高精度温补算法和全流程自动测试系统及设备,由战略供应伙伴泰晶提供
2022-08-03 17:29:271609

思科技获得公司N3E和N4P工艺认证

思科技数字和定制设计流程获得公司N3E和N4P工艺认证,并已推出面向工艺广泛IP组合
2022-07-12 11:10:51729

思科推出面向公司N6RF工艺全新射频设计流程

思科技(Synopsys)近日推出面向公司N6RF工艺的全新射频设计流程,以满足日益复杂的射频集成电路设计需求。
2022-06-24 14:30:13723

电:2nm工艺将使用GAAFET技术,预计2025年实现量产

今日,电在其举办的技术论坛会中展示了2nm(N2)工艺以及其它的一些先进制程。 在大会上,电展示了N3工艺最新的FINFLEX技术,该技术扩展了采用3nm制程产品的性能、功率等,能够让芯片
2022-06-17 16:13:254890

郭明錤:苹果A16处理器仍将采用5nm工艺

N4P及N3工艺要到明年才能实现量产,而目电的N4工艺N5P工艺相比不具备显著优势,,与其花费精力去采用N4工艺,不如再等一段时间直接在A16的下一代处理器上搭载最新工艺,故而苹果的A16处理器仍将使用5nm工艺。 虽然这次的A16还是采用的
2022-05-30 16:29:011666

锐成芯微发布全新车嵌入式存储IP品牌商标SuperMTP®

55日,成都锐成芯微科技股份有限公司(以下简称:锐成芯微)发布旗下全新品牌商标——SuperMTP®,该新商标用于统一已推出的MTP IP产品线中面向汽车电子应用的嵌入式多次可编程存储IP
2022-05-05 11:55:361179

锐成芯微发布嵌入式多次可编程存储IP系列产品

55日,成都锐成芯微科技股份有限公司(以下简称:锐成芯微)发布旗下全新品牌商标——SuperMTP®,该新商标用于统一已推出的MTP IP产品线中面向汽车电子应用的嵌入式多次可编程存储IP系列产品。
2022-05-05 10:27:44945

思科技加入英特尔代工服务新成立的生态系统联盟,携手加速下一代半导体设计开发

双方的共同客户可采用新思科面向英特尔工艺技术领先EDA和IP解决方案,实现降低设计风险并加速产品上市的目标。
2022-03-02 14:16:391212

芯片的分类 2021年IGBT芯片上市公司有哪些

、传感器和其他类;目前各类芯片巨头均大多来自国外厂商。 控制类芯片包括AI芯片和MCU(单片机)芯片,我们常说的芯片更多的是指AI芯片,属于系统的SOC芯片,性能最为强大。 2021年IGBT芯片上市公司有哪些 1.比亚迪 2.露笑科
2021-12-09 14:23:2419769

思科技数字定制设计平台已获公司N3制程技术认证

技(Synopsys)近日宣布其数字定制设计平台已获公司N3制程技术认证,双方将共同优化下一代芯片的功耗、性能和面积(PPA)。基于多年的密切合作,本次经严格验证的认证是基于公司最新版本的设计规则手册(DRM)和制程设计套件(PDK)。此外,新思科
2021-11-16 11:06:321440

公司授予新思科技多项“年度OIP合作伙伴”大奖项,肯定双方在半导体创新方面的长期合作

双方合作涵盖新思科技的Interface IP、基于TSMC 3DFabric™的设计解决方案以及针对台公司N4制程技术的PPA优化。
2021-11-08 11:54:45385

思科技数字和定制设计平台获得公司N3制程认证

通过与公司在早期的持续合作,我们为采用公司先进的N3制程技术的设计提供了高度差异化的解决方案,让客户更有信心成功设计出复杂的SoC。
2021-11-02 09:24:25364

电宣布推出4nm制程工艺——N4P

电子发烧友网报道(文/吴子鹏)近日,全球晶圆代工龙头电宣布推出4nm制程工艺——N4P,希望借此赢得明年苹果公司A16处理器代工订单。电表示,凭借5nm(N5)、4nm(N4)、3nm(N
2021-10-30 11:25:165977

推出N4P工艺,“真4nm”还是“数字游戏”?

近日,全球晶圆代工龙头电宣布推出4nm制程工艺——N4P,希望借此赢得明年苹果公司A16处理器代工订单。电表示,凭借5nm(N5)、4nm(N4)、3nm(N3)、以及最新的N4P制程,将能
2021-10-28 08:05:1112116

楷登电子数字和模拟流程获TSMC N3和N4工艺技术认证

、集成化的 RTL-to-GDS 流程,面向 N3 和 N4 工艺技术,旨在达成最佳 PPA 目标 中国上海,2021 年 10 月 22 日—楷登电子(美国 Cadence 公司,NASDAQ:CDNS
2021-10-26 15:10:581756

4V-36V输入DCDC芯片A5973AD技术资料

4V-36V输入DCDC芯片A5973AD技术资料(长城电源技术_山西)有限公司)-4V-36V输入DCDC芯片A5973AD技术资料
2021-09-16 17:27:1226

电新开发的N5A(5纳米A)制程将于2022年第三季度问世

新的 S32 系列汽车处理器中,已经用到了电的 16nm 工艺技术,而NXP本身也是N5A的重要潜在客户。 对于N5A电声称其是世界上最先进的汽车半导体技术,目的是为了满足更密集的汽车应用对计算能力不断增长的需求,例如支持人工智能的驾驶员辅助和车辆驾驶舱的数
2021-07-25 17:43:57866

美国新增经济黑名单,中国有23家企业和个人列入其中

新的 S32 系列汽车处理器中,已经用到了电的 16nm 工艺技术,而NXP本身也是N5A的重要潜在客户。 对于N5A电声称其是世界上最先进的汽车半导体技术,目的是为了满足更密集的汽车应用对计算能力不断增长的需求,例如支持人工智能的驾驶员辅助和车辆驾驶舱的数
2021-07-25 17:41:021032

电聚焦汽车领域,其发展前景如何

新的 S32 系列汽车处理器中,已经用到了电的 16nm 工艺技术,而NXP本身也是N5A的重要潜在客户。 对于N5A电声称其是世界上最先进的汽车半导体技术,目的是为了满足更密集的汽车应用对计算能力不断增长的需求,例如支持人工智能的驾驶员辅助和车辆驾驶舱的数
2021-07-14 15:28:56741

思科技DesignWare IP基于公司N5制程技术助力客户连续实现一次流片成功,获行业广泛采用

思科技高质量接口和基础IP核获得20多家领先半导体公司的采用,涵盖汽车、移动和高性能计算市场。
2021-06-29 14:46:55371

SiFive成功采用N5工艺技术首个SoC

最大的RISC-V架构厂商SiFive近日宣布,其OpenFive部门已成功采用电(TSMC)的N5工艺技术流片公司首个SoC,采用2.5D封装HBM3存储单元,带宽7.2Gbps。在半导体行业中,流片意味着芯片设计大功告成,一般会在一年内投入商用。
2021-05-01 09:33:002804

Socionext下一代汽车定制芯片将采用5nm工艺

解决方案主要针对高级辅助驾驶系统(ADAS)和自动驾驶(AD)应用,合作计划于2022年进行风险试产。 N5P工艺5nm工艺系列技术之一,是目前业内最先进的工艺技术。随着产能的不断攀升,N5
2021-02-05 11:50:271685

Arasan宣布用于公司22nm工艺技术的eMMC PHY IP立即可用

领先的移动和汽车SoC半导体IP提供商Arasan Chip Systems今天宣布,用于公司22nm工艺技术的eMMC PHY IP立即可用 加利福尼亚州圣何塞2021年1月21日 /美通社
2021-01-21 10:18:232188

早报:下一代iPhone芯片或将使用电的5nm+工艺

台湾研究公司 TrendForce 今天报道,苹果计划在 2021 年 iPhone 中将电的下一代 5nm + 工艺用于 A15 芯片。电的网站显示,5nm + 工艺(被称为 N5P)是其 5nm 工艺的“性能增强版本”,它将提供额外的功率效率和性能改进。
2020-11-30 15:19:001645

苹果iPhone A15芯片仍采用电的5nm+工艺

目前 iPhone 12 型号中所使用的 A14 Bionic 是智能手机行业内首款基于 5nm 生产工艺的芯片。不过报道称苹果和电还将朝着更小的节点推进。研究公司 TrendForce 今天
2020-11-19 15:32:122451

电第二代5nm工艺表现超预期,性能提升到7%

电今年量产了5nm工艺,苹果的A14、华为麒麟9000系列都是电最早的5nm工艺产品,明年则会有第二大5nm工艺N5P,日前有消息称N5P工艺性能及能效都超过了预期。
2020-11-06 16:46:442176

电第二代 5nm 工艺性能提升水平有望高于预期

电带来了近 10 亿美元的营收。 同此前的 7nm 工艺一样,电的 5nm 工艺也不只一代,他们还将推出第二代的 5nm 工艺,也就是他们所说的 N5P。 在 8 月底的全球技术论坛期间,电曾披露,同第一代 5nm 工艺相比,第二代 5nm 工艺所制造的芯片,理论上性能将提升
2020-11-06 16:19:021485

思科技将开发广泛DesignWare IP核产品组合

、DDR5、LPDDR5、MIPI、OTP NVM等 ●新思科技与GF的长期合作,成功实现了DesignWare IP核从180nm到12nm的开发,并可应用于广泛领域 新思科技(Synopsys)近日宣布
2020-11-03 16:48:081889

Moortec推出基于N5工艺技术的分布式热传感器(DTS)

Moortec宣布其深度嵌入式监控产品组合再添新成员 -- 基于N5工艺技术的分布式热传感器(DTS)。Moortec高度微粒化DTS的面积只有一些标准芯片内热传感器解决方案的七分之一,还支持
2020-08-04 15:00:02631

Moortec推出基于N5工艺技术的DTS,可最大限度地提高硅性能

6月11日消息,Moortec今天宣布其深度嵌入式监控产品组合再添新成员 -- 基于N5工艺技术的分布式热传感器(DTS)。Moortec高度微粒化DTS的面积只有一些标准芯片内热传感器
2020-06-15 15:04:322087

思科技与GLOBALFOUNDRIES合作 开发覆盖面广泛的DesignWare® IP组合

思科技近日宣布与GLOBALFOUNDRIES (GF)合作,针对GF的12纳米领先性能(12LP) FinFET工艺技术,开发覆盖面广泛的DesignWare® IP组合,包括多协议25G
2019-07-05 09:13:133002

思科推出业内首个DDR5 NVDIMM-P验证IP 加速验证工作完成

思科技(Synopsys,Inc.纳斯达克股票代码:SNPS)近日宣布为DDR5/4非易失性双列直插式内存模块(NVDIMM-P),推出业内首个验证IP (VIP)。NVDIMM-P是新一代存储
2019-05-17 09:43:483087

Synopsys推出支持TSMC 7nm工艺技术

思科技(Synopsys)推出支持TSMC 7nm FinFET工艺技术的汽车DesignWare Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2
2018-11-13 16:20:231390

思科技数字与定制设计平台通过TSMC 5nm EUV工艺技术认证

关键词:5nm , Compiler , PrimeTime 新思科技(Synopsys)宣布其数字和定制设计平台通过了TSMC最先进的5nm EUV工艺技术认证。该认证是多年广泛合作的结果,旨在
2018-10-27 22:16:01213

思科推出基于TSMC 7nm FinFET工艺技术的汽车IP

基于7nm工艺技术的控制器和PHY IP具有丰富的产品组合,包括LPDDR4X、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IPIP解决方案支持TSMC 7nm工艺技术所需的先进汽车设计规则,满足可靠性和15年汽车运行要求。
2018-10-18 14:57:216294

Synopsys推出可用于180nm CMOS工艺技术的可重编程非易失性存储器IP

关键词:180nm , CMOS工艺技术 , Synopsys , 非易失性存储器IP , 可重编程 全球领先的半导体设计、验证和制造软件及知识产权(IP)供应商新思科技有限公司(Synopsys
2018-10-14 17:36:01297

电携手新思科技开发7纳米制程设计平台

半导体设计公司思科技 (Synopsys) 17 日宣布,将与晶圆代工龙头电合作推出针对高效能运算 (High Performance Compute) 平台的创新技术,而这些新技术是由新思科技与电合作的 7 纳米制程 Galaxy 设计平台的工具所提供。
2016-10-18 10:55:37631

Cadence为电16纳米FinFET+制程推出IP组合

美国加州圣何塞(2014年9月26日)-全球知名的电子设计创新领导者Cadence设计系统公司(NASDAQ: CDNS)今日宣布为电16纳米FinFET+ 制程推出一系列IP组合
2014-10-08 19:19:22878

Cadence宣布推出基于电16纳米FinFET制程DDR4 PHY IP

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布,立即推出基于电16纳米FinFET制程的DDR4 PHY IP(知识产权)。
2014-05-21 09:44:541668

Altera与积在55纳米嵌入式闪存工艺技术领域展开合作

Altera公司公司今日共同宣布在55纳米嵌入式闪存 (EmbFlash) 工艺技术上展开合作,Altera公司将采用公司的55纳米前沿嵌入式闪存工艺技术生产可程序器件,广泛支持汽车及工业等各类市场的多种低功耗、大批量应用。
2013-04-16 09:05:09894

TSMC持续开发先进工艺技术节点 中国IC设计发展可期

随着芯片微缩,开发先进工艺技术的成本也越来越高。TSMC对外发言人孙又文表示,电会继续先进工艺技术节点的投入和开发,今年年底电将推出20nm工艺
2012-08-30 14:34:301728

MIPS宣布加入IP联盟

美普思科公司(MPS)已正式宣布,MPS已加入电软IP联盟(Soft IP Alliance Program)。MIPS 科技公司成立于 1998 年,总部位于美国加州,是全球第二大半导体设计IP(知识产权)公司和全球
2012-08-28 09:23:519917

Sonics推出业内首款GHz片上网络

世界头号片上通信IP供应商Sonics公司(R)今天面向高级并发应用处理和系统设计推出业内首款GHz片上网络(NOC)SonicsGN(TM)(SGN)。
2011-09-22 18:09:171319

思科技与中芯国际合作推出用于中芯65纳米低漏电工艺技术的、

思科技与中芯国际合作推出用于中芯65纳米低漏电工艺技术的、获得USB标志认证的DesignWareUSB 2.0 nanoPHY 通过芯片验证的DesignWare PHY IP
2010-05-20 17:39:09550

思科技与中芯国际合作推出 DesignWareUSB 2.

思科技与中芯国际合作推出 DesignWareUSB 2.0 nanoPHY-- 用于中芯65纳米 LL 工艺技术,获得 USB 标志认证-- 通过芯片验证的 DesignWare PHY IP 降低了风险,易于集成到系
2010-05-13 21:37:27323

已全部加载完成