0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA实现Cordic算法求解arctanθ

FPGA研究院 来源:FPGA之旅 2023-09-27 09:30 次阅读

一. 简介

由于在项目中需要使用的MPU6050,进行姿态解算,计算中设计到arctan 和 sqr(x*2 + y * 2),这两部分的计算,在了解了一番之后,发现Cordic算法可以很方便的一次性求出这两个这两部分的计算。另外也可以一次性求出sin和cos的值。另外该算法还可以计算其他的一些公式(没做过多的了解)。

二.Cordic算法

该算法的核心实现就是旋转逼近,每次旋转一定的角度,无限的逼近所给定的角度值。

1. 理论基础

首先有向量P0,现在要将其旋转θ角度,到Pm。那么Pm的坐标值如下

xm = x0cosθ - y0sinθ = cosθ(x0 – y0tanθ)

ym = x0sinθ + y0cosθ = cosθ(y0 + x0tanθ)

P0和Pm均在单位圆上,另外假设现在P0在X轴上,即 X0 = 1,y0 = 0。上式就可以变为如下显示

xm = x0cosθ - y0sinθ = cosθ

ym = x0sinθ + y0cosθ = sinθ

可以看到Pm的坐标值,就是sinθ 和 cosθ的值。这就是理论基础。

52cb0070-5cc5-11ee-939d-92fbcf53809c.png

2. sinθ 和 cosθ 算法实现

有了理论支持后,我们只需要求解Pm的坐标即可。直接旋转θ不太可能,但是我们可以每次旋转特定的角度θi (tanθi = 1/2^i),让我们的角度值逼近θ即可。于是就有了如下迭代公式。

x(i+1) = cosθi* (xi – yi * tanθi)

y(i+1) = cosθi * (yi + xi * tanθi)

θ(i+1) = θi (+-) dθi

如果当前角度小于设定角度,那么就加dθ ,大于设定角度 , 那么就减dθ。由于每次旋转的dθ,会越来越小,所以旋转的当前角度会越来越来接近设定角度。

计算过程中 ,cosθi,只充当缩放因子,对旋转方向没有影响。可以先在软件中提取技术出来。每次旋转角度值 和 对应的 cos值如下。

52d742ea-5cc5-11ee-939d-92fbcf53809c.png

3. arctan (x,y)和 sqr(x*2 + y * 2)算法实现

在求解sinθ 和 cosθ 的时候,知道,给定一个角度,按照上述方法就可以求解。现在将其反过来,给定sinθ 和 cosθ的值,也就是Pm的坐标(可能不在单位圆上,只是模值缩放了),现在只需要将其旋转到X轴的正半轴上,即Y = 0 ,X > 0的时候,所旋转过的角度值即arctan (x,y)。

然后P0的X坐标值即sqr(x*2 + y * 2)。旋转过程中,向量的模值是不会改变的,而Pm的模值就是sqr(x*2 + y * 2)。

三.Cordic算法实现

首先将上述角度值,存储到verilog中,需要进行扩大处理。由于tanθi = 1/2^i),所以对应的tanθ也是知道的。在相乘的时候,只需要将对应的数右移对应的位数即可

`define rot0 32'd2949120    //45度*2^16
`define rot1 32'd1740992    //26.5651度*2^16
`define rot2 32'd919872    //14.0362度*2^16
`define rot3 32'd466944    //7.1250度*2^16
`define rot4 32'd234368    //3.5763度*2^16
`define rot5 32'd117312    //1.7899度*2^16
`define rot6 32'd58688     //0.8952度*2^16
`define rot7 32'd29312     //0.4476度*2^16
`define rot8 32'd14656     //0.2238度*2^16
`define rot9 32'd7360     //0.1119度*2^16
`define rot10 32'd3648     //0.0560度*2^16
`define rot11 32'd1856     //0.0280度*2^16
`define rot12 32'd896      //0.0140度*2^16
`define rot13 32'd448      //0.0070度*2^16
`define rot14 32'd256      //0.0035度*2^16
`define rot15 32'd128      //0.0018度*2^16

然后就是迭代过程了,迭代16次足够了。最后的Zn和Xn就是想要结果。

//旋转
genvar i;
generate
  for( i = 1 ;i < 17 ;i = i+1)
   begin: loop2
       always@(posedge clk or negedge rst_n)
       begin
           if( rst_n == 1'b0)
           begin
               Xn[i] <= 'd0;
               Yn[i] <= 'd0;
               Zn[i] <= 'd0;
           end
           else if( cal_delay[i -1] == 1'b1)
           begin
               if( Yn[i-1][31] == 1'b0)
               begin
                   Xn[i] <= Xn[i-1] + (Yn[i-1] >>> (i-1));
          Yn[i] <= Yn[i-1] - (Xn[i-1] >>> (i-1));
          Zn[i] <= Zn[i-1] + rot[i-1];
               end
               else
               begin
                   Xn[i] <= Xn[i-1] - (Yn[i-1] >>> (i-1));
          Yn[i] <= Yn[i-1] + (Xn[i-1] >>> (i-1));
          Zn[i] <= Zn[i-1] - rot[i-1];
               end
           end
           else
           begin
               Xn[i] <= Xn[i];
               Yn[i] <= Yn[i];
               Zn[i] <= Zn[i];
           end
       end
   end
endgenerate

这里没有乘cosθ,最后的Xn会比真实值大1.64倍左右,所以还需要对其进行一个缩小操作,通过右移来近似实现。

assign cordic_ack = cal_delay[16];
assign theta   = Zn[16];
assign amplitude = (Xn[16] >>> 1) + (Xn[16] >>> 3); ////幅度,偏大1.64倍,这里做了近似处理

然后就是仿真了,给了X=Y=15,也就是角度为45度,幅值21.213,扩大65536倍为1,376,256。可以看到结果近似。

52e5fc36-5cc5-11ee-939d-92fbcf53809c.png








审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • fpga
    +关注

    关注

    1551

    文章

    20311

    浏览量

    588618
  • 存储器
    +关注

    关注

    36

    文章

    6514

    浏览量

    160647
  • CORDIC算法
    +关注

    关注

    0

    文章

    16

    浏览量

    9651
  • Verilog语言
    +关注

    关注

    0

    文章

    104

    浏览量

    8061
  • 姿态解算
    +关注

    关注

    0

    文章

    46

    浏览量

    8105
收藏 人收藏

    评论

    相关推荐

    基于改进的CORDIC算法的FFT复乘及其FPGA实现

    CORDIC算法的移位、加减法运算和流水线结构更容易在FPGA实现。本文在Altera公司的QuartusⅡ7.2软件环境下使用VHDL,利用上述各种算法设计了16 bit宽的FFT复乘模块并在
    发表于 07-11 21:32

    CORDIC 算法

    CORDIC 的 verilog 代码,但在逻辑上遇到问题。https://www.edaplayground.com/x/3tHk , 为何 y[2] == 0 ? -->
    发表于 05-14 00:32

    请问能不能用CORDIC算法代替ROM表,用FPGA实现CORDIC算法来控制AD9910?

    FPGA控制AD9910,但是本人想把基于ROM表的改成基于CORDIC算法的,这样还能不能用FPGA实现控制AD9910,理论上应该可以的,但是不知道这样有没有意义一般都是直接用
    发表于 12-01 08:47

    LabVIEW FPGA CORDIC IP核的arctan使用方法

    FPGA模块中的CORDIC IP核,配置arctan(X/Y)算法,配置完成之后,IP核只有一个输入。我参考网上VHDL CORDIC IP核,说是将XY合并了,高位X低位Y。不知道在LabVIEW中如何将两个值X、Y合并成一个(X、Y均为定点数)。具体情况如下图:
    发表于 09-10 20:07

    FPGA设计中必须掌握的Cordic算法

    FPGA实现诸如正弦、余弦或开平方这样的数学函数时,首先会想到的是用查找表,可能再结合线性内插或者幂级数(如果有乘法器可用)。不过对这种工作来说,CORDIC
    发表于 09-19 09:07

    怎么利用CORDIC算法FPGA实现高速自然对数变换器?

    CORDIC算法FPGA实现了高速自然对数变换器。
    发表于 04-30 06:05

    利用CORDIC 算法FPGA实现可参数化的FFT

    CORDIC 算法FPGA实现快速FFT 的方法。CORDIC 实现复数乘法比普通的计算器有结构上的优势,并且采用了循环结构
    发表于 08-24 09:31 9次下载

    高性能HPOR CORDIC算法实现

    CORDIC 算法在通信和图像处理等各个领域有着广泛的应用,但是浮点CORDIC 由于迭代延时大且实现复杂没有得到很好的应用,本文提出了一种修正浮点CORDIC 算法: 高精度顺序迭代HPOR
    发表于 12-15 14:27 14次下载

    利用CORDIC算法FPGA实现可参数化的FFT

    CORDIC算法FPGA实现快速FFT的方法。CORDIC实现复数乘法比普通的计算器有结构上的优势,并且采用了循环结构的CORDIC
    发表于 08-09 15:39 55次下载

    双模式CORDIC算法FPGA实现

    CORDIC算法将复杂的算术运算转化为简单的加法和移位操作,然后逐次逼近结果。这种方法很好的兼顾了精度、速度和硬件复杂度,它与VLSI技术的结合对DSP算法的硬件实现具有极大的意义
    发表于 06-27 17:27 66次下载
    双模式<b>CORDIC</b><b>算法</b>的<b>FPGA</b><b>实现</b>

    如何使用FPGA实现CORDIC算法在跟踪环中的应用

    CORDIC算法在US,g,鉴别器中的应用,包括码跟踪环、锁频环和锁相环鉴别器,并进行了FPGA实现。在设计中,采用统一cORDIc算法优化方法减少硬件开销,用非流水
    发表于 01-22 16:12 8次下载
    如何使用<b>FPGA</b><b>实现</b><b>CORDIC</b><b>算法</b>在跟踪环中的应用

    基于CORDIC算法2FSK调制器的FPGA设计

    CORDIC(Coordinate Rotation Digital Computer)算法实时计算正弦值的方案,并基于CORDIC算法FPGA芯片上设计了2FSK调制器。这不仅能够节省大量的FPGA逻辑资源,而且能很好地兼顾速度
    发表于 05-31 10:22 1414次阅读
    基于<b>CORDIC</b><b>算法</b>2FSK调制器的<b>FPGA</b>设计

    cordic算法verilog实现(简单版)

    cordic算法verilog实现(简单版)(转载)module cordic(clk, phi, cos, sin); parameter W = 13, W_Z = 14; input clk; input [W_Z-1:0] phi; output[W-1:0]
    发表于 02-11 03:06 2888次阅读
    <b>cordic</b><b>算法</b>verilog<b>实现</b>(简单版)

    基于FPGACordic算法实现的设计与验证

    FPGA实现Cordic算法的设计与验证,使用Verilog HDL设计,初步可实现正弦、余弦、反正切函数的实现。将复杂的运算转化成FPGA擅长的加减法和乘法,而乘法运算可以用移位运算代替
    发表于 07-03 10:18 2150次阅读
    基于<b>FPGA</b>的<b>Cordic</b><b>算法</b><b>实现</b>的设计与验证

    基于CORDIC的高速Sobel算法实现

    CORDIC的高速Sobel算法实现
    的头像 发表于 10-05 09:54 3090次阅读
    基于<b>CORDIC</b>的高速Sobel<b>算法</b><b>实现</b>