0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动

完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>

3天内不再提示

【紫光同创国产FPGA教程】【第二十八章】USB双向测速例程

FPGA技术专栏 来源:芯驿电子科技 作者:芯驿电子科技 2021-04-09 10:46 次阅读

原创教程由芯驿电子科技(上海)有限公司(ALINX)创作,版权归本公司所有,如需转载,需授权并注明出处(alinx.com)。

适用于板卡型号:

PGL22G

1. 简介

本教程介绍如何使用FTDI公司的FT232H驱动芯片进行USB数据传输,文中从底层FPGA、驱动层固件(FT232H固件)及上位机测试软件设计方面阐述了USB开发的整个流程。

2. 实验原理

2.1 FT232H原理介绍

FT232H是由FTDI公司生产的单路USB2.0驱动芯片,USB速度高达480Mb/s,支持转UART或FIFO模式,并可配置成多种工业串行或并行接口:

(1)可配置成UART接口;

(2)FT245同步FIFO接口;

(3)FT245风格异步FIFO接口;

(4)同步或异步Bit-Bang接口;

(5)MPSSE接口;

(6)Fast串口;

(7)CPU风格FIFO接口;

(8)FT1248接口。

在本实验中配置成FT245同步FIFO接口。下面是FT232H的内部结构图。

o4YBAGBubnuADGOAAADf-bksXB8980.jpg

2.2 硬件原理图

如下为AX7035/AX7050开发板的USB部分原理图,USB驱动芯片采用FT232HL,配置为FT245 FIFO模式,通过与93LC56存储芯片相连,将FT232HL配置为FT245 FIFO模式的信息存储于芯片中。

pIYBAGBubnyAW7c7AABpPkMeF2E053.jpgAX7050 USB部分原理图o4YBAGBubnyACQyqAACwzp_jExU178.jpgAX7035 USB部分原理图

2.3 FT245 FIFO接口引脚

如下表所示为FT245 FIFO接口,ADBUS为并行接口,供FPGA使用,RXF#,TXE#为FT232H输出信号,用于通知FPGA是否可读可写,RD#,WR#为读写输入信号,由FPGA控制,CLKOUT为输出时钟60MHz,OE#为输出全能,需要在RD#有效之前至少提前一个时钟周期。

o4YBAGBubn2AFbqlAAGwBG46Bqk392.jpg

2.4 FT245 FIFO时序

下图为FT245 FIFO模式时序图,读时序中,首先FT232H将RXF#拉低,此时可以读取FIFO里的数据,FPGA可将OE#先拉低,过至少一个时钟周期,再将RD#拉低,在CLKOUT上升沿采集数据;写时序中,FT232H将TXE#拉低,表示现在可写,FPGA可将WR#拉低,在CLKOUT上升沿写入数据。

pIYBAGBubn2AcGfMAADRkLpw_2M818.jpg

3. 程序设计

程序设计比较简单,功能实现为将从FT232H的读FIFO中读取的数据存储到双口RAM中,再将数据从RAM读取出来发送到FT232H的写FIFO中,实现数据的环通。代码实现根据前面所讲的时序图,实现其功能即可。

o4YBAGBubn6AKUqWAAAVwudimFk047.jpg

代码中采用了简单的状态机,首先在IDLE状态下判断ft_rxf_n信号是否是0,如果是0,进入READ状态,开始读取FT232H的数据,并存入RAM中,如果ft_rxf_n拉高,进入IDLE状态;否则判断ft_txe_n是否为0并且RAM空间不为空,进入WRITE状态,将RAM数据写入FT232H,如果ft_txe_n拉高或者RAM空了,进入IDLE状态。

引脚名称 功能描述
ft_clk FT232H时钟,CLKOUT频率60MHz
rst 复位信号,高电平有效
ft_rxf_n RXF# FT232H FIFO可以读,低电平有效
ft_txe_n TXE# FT232H FIFO可以写,低电平有效
ft_oe_n OE# 输出使能,低电平有效
ft_rd_n RD# 读信号,低电平有效
ft_wr_n WR#写信号,低电平有效
ft_data FT232H数据端口,8位位宽,双向IO

4. 实验流程

4.1 安装FTDI D2xx driver

在05_芯片手册\ft232h文件夹中可以找到CDM v2.12.28 WHQL Certified_x64.zip,将其解压。

pIYBAGBubn6AW-uWAAB1mxTkrnQ371.jpg

取出开发板,连接上开发板的USB接口,打开电源

打开设备管理器,出现新设备,右键点击更新驱动程序软件

pIYBAGBubn-AD6kCAAEKTbO4yvU959.jpg

点击浏览计算机以查找驱动程序软件

o4YBAGBubn-AZ1TGAABsoz1xqpk995.jpg

点击浏览找到解压的文件夹,点击确定,并点击下一步。

成功安装驱动后如下图:

pIYBAGBuboCAVJuIAABHArUCTx4555.jpg

可以看到出现 USB Serial Converter设备

o4YBAGBuboCAFYQsAAAamF9Xbxc231.jpg

4.2 实验现象

将程序下载到FPGA中,找到上位机测速软件,打开软件

pIYBAGBuboCAbY7EAACQoSJvVL0058.jpg

选择USB设备,点击开始按钮

o4YBAGBuboGAakbAAACfub69elY029.jpg

可以看到读写速度在40MB/s附近变动,点击暂停按钮可停止测速。

pIYBAGBuboGAR6w9AACfkCTDw2M386.jpg

有些同学想要看看USB传输的数据,在这里我们提供 了“USB测试工具“,工具在下图中位置:

o4YBAGBuboKAGtHMAABs-zrcLZM580.jpg

操作如下:

1)首先确保程序(与测速软件一致)已下载到FPGA中,连接好USB线,找到上位机测速软件并打开,在选择设备下拉框里找到USB 设备, 如果没有显示 USB 设备, 点击“刷新” 按钮 之后选择好设备, 点击“打开” 按钮。如下图:

pIYBAGBuboKAEB5BAABZqUwvgLU878.jpg

2)在数据输入框输入十六进制数据, 再点击发送, 可以在显示窗口看到发送和接收的数据, 进行数据对比, 验证正确性。

o4YBAGBuboOAb6JBAACLDsMjDmU611.jpg

在这里需要注意下:发送数据需要为偶数个,否则上位机会截取偶数个数据发送, 如下图, 最后一个数据 f 并没有发送出去。

pIYBAGBuboOAONOxAACJbuNT2_8890.jpg

3)“刷新” 按钮类似于关闭端口, 点击“刷新” 按钮后, 发送数据, 不会有反应, 需要点击
“打开” 按钮打开 USB 设备。

o4YBAGBuboSANjOgAAB0KhTq4wU265.jpg

5. 总结

本实验介绍了FT232H芯片FT245 FIFO模式的读写测速,大家可以结合各自的应用编写上位机程序实现所需功能。

  • fpga
    +关注

    关注

    1496

    文章

    15782

    浏览量

    586511
  • usb
    usb
    +关注

    关注

    56

    文章

    6316

    浏览量

    254435
  • 程序
    +关注

    关注

    104

    文章

    2860

    浏览量

    78144
  • uart
    +关注

    关注

    20

    文章

    941

    浏览量

    98600
  • 紫光同创
    +关注

    关注

    2

    文章

    52

    浏览量

    26963
收藏 人收藏

    评论

    相关推荐

    【迅为资料上新】iTOP-3568开发板指南手册!

    的安装和使用第二十八章 借助U盘或TF卡拷贝程序到开发板上第二十九章 点亮第一个 led灯 第二篇 Linux系统编程篇 第一部分 Linux下I/O操作第三十章 文件IO和标准IO第三十一章 目录IO
    发表于 04-26 15:03

    ARM/FPGA/DSP板卡选型大全,总有一款适合您

    Cortex-A7@1.2GHz含税198元起全志T3/A40i + 紫光同创Logos业界首款国产ARM + FPGA全志T507四核Cortex-A53@1.5GHz含税198元起瑞芯微RK3568J/B2四核
    发表于 03-31 16:19

    国产ARM+FPGA架构在“能源电力”中的典型应用详解

    (SOM-TLT3F工业核心板),并适配国产多通道并口AD,处理器、ROM、RAM、电源、晶振、连接器等均采用国产工业级方案,国产化率100%。此全国产平台基于全志T3 ARM + 紫光同创Logos FPGA
    发表于 03-31 16:48

    #硬声创作季 紫光同创市场总监吕喆:提升国产FPGA竞争力,发力工业及消费电子市场!

    fpga消费电子紫光紫光同创
    Mr_haohao
    发布于 :2022年10月21日 19:09:49

    【正点原子DFPGL22G开发板体验】紫光同创FPGA开发环境搭建 PDS(Pango Design Suite)软件安装

    紫光同创FPGA开发环境搭建 PDS(Pango Design Suite)软件安装一、Pango Design Suite软件的安装请先解压PDS_2021.4-SP1.2-win64.zip
    发表于 04-07 00:00

    【揭秘】紫光盘古系列:盘古22K开发板

    本次将为小伙伴们介绍 紫光盘古系列22K(MES22GP)开发板 。盘古22K开发板为紫光同创高校协同育人项目官方定制开发板。盘古22(MES22GP) 开发板采用紫光同创logos
    发表于 04-11 16:25

    [下载]数字电子技术课件-精品课程

    ) 第二十五讲 同步计数器 第二十六讲 寄存器和移位寄存器 第二十七讲 同步时序逻辑电路的设计 第二十八讲 数模和模数转换器 第二十
    发表于 03-30 18:05

    火力发电厂水汽分析方法 第二十八部分:有机物的测定(紫外吸收法)

    火力发电厂水汽分析方法 第二十八部分:有机物的测定(紫外吸收法)
    发表于 09-11 01:09

    PCB设计规范2010最新版

    的设计陷阱第二十六篇信号隔离技术第二十七篇高速数字系统的串音控制第二十八篇掌握 IC封装的特性以达到最佳 EMI抑制性能第二十九篇实现 PCB高效自动布线的设计技巧和要点第三十篇布局布线技术的发展
    发表于 04-29 17:50

    基于VHDL的FPGA与NIOS_II实例精炼视频教程免费下载

    基于VHDL的FPGA与NIOS_II实例精炼视频教程免费下载http://115.com/file/be3r8rgl#《基于VHDL的FPGA与NIOS_II实例精炼》第二十章_DMA核
    发表于 02-06 11:22

    基于VHDL的FPGA与NIOS_II实例精炼代码实例下载

    FPGA与NIOS_II实例精炼》第八章代码.rarhttp://115.com/file/e7wph99v#《基于VHDL的FPGA与NIOS_II实例精炼》第二十二章代码.rarhttp
    发表于 02-06 11:27

    欢度第二十八个教师节——致全校教职工的慰问信

    亲爱的教职工们: 在party的十八大即将召开之际,我们迎来了自己的节日——第二十八个教师节。值此佳节,校工会向辛勤耕耘在教学、科研、管理、服务第一线的全体教职工致以节日的祝贺!向一直以来为学校发展
    发表于 09-11 10:13

    Atmega8 求做小程序

    本人小白atmega8 第二十八引脚有一个led一闪一灭晶振是4mhz大神帮一下
    发表于 11-05 09:00

    面包板入门单片机基础版视频教程

    第二十八集http://www.400gb.com/file/54434638第二十九集http://www.400gb.com/file/54435397第三十集http://www.400gb.com/file/54417797
    发表于 01-16 12:07

    明德扬视频分享点拨FPGA课程--第二十章  提高效率技巧

    第二十章提高效率技巧1. 利用GVIM制作模板http://yunpan.cn/cjZTiDA9pY56x访问密码 c359
    发表于 11-07 09:22

    明德扬视频分享点拨FPGA课程--第二十一章  矩阵键盘工程

    第二十一章矩阵键盘工程1. 矩阵扫描模块2. 数码显示模块3. for循环的使用4. 统计模块5. generate的使用6. 进制转换模块7. 顶层模块http://yunpan.cn/cjZTiDA9pY56x访问密码 c359
    发表于 11-09 08:47

    2015年度发烧友论坛红人风云榜评选

    ,给力哟,顶起来呀2015年度发烧友论坛红人风云榜由你决定。PS:以下为获得我们社区之星奖励的伙伴,仅供大家参考第二十八期:社区之星——从菜鸟到资深LabVIEW工程师--小鹰的fighting之路
    发表于 12-22 14:33

    【从0教学嵌入式Linux】第二十八

    region),文本区域存储处理器执行的代码;数据区域存储变量和进程执行期间使用的动态分配的内存;堆栈区域存储着活动过程调用的指令和本地变量。第二,进程是一个“执行中的程序”。程序是一个没有生命的实体
    发表于 06-24 11:38

    实习工作小结第二十八

    最近真的是越来越水了!今天的工作还是写文档,我不是说写文档这个工作水,而是说我们做的工作水,总是会出现写着写着就不知道了写什么东西了!虽然说是写产品的设计方案没错了,但是对具体的东西了解其实还是不够的,又没有什么懂行的人可以交流。老板还经常不在,严格说已经有10天没有见到人了,就对我们这么放心?说实话,我还是觉得写文档还是要有些实际的工作之后才好写,这空想,
    发表于 08-31 20:28

    紫光同创简介

    本帖最后由 DamonXZ 于 2018-5-26 23:56 编辑 紫光同创紫光集团旗下公司,注册资金1.5亿元,总投资5亿元,是是国家高新技术企业,已通过ISO9001-2015
    发表于 05-26 10:58

    《BOSCH汽车电气与电子 》(中文第一版)(完整版)

    的信号处理第二十八章 气车上的数据处理第二十九章 各电子系统间的数据传输第三十章 缩略语后记 补充内容 (2018-11-1 22:22): 需要下载等朋友,麻烦点个赞,留个言在走,谢谢`
    发表于 10-30 23:58

    2019第二十八届中国国际信息通信展览会(PT展)

    `2019第二十八届中国国际信息通信展览会(PT展)展览时间:2019年10月31日-11月3日展览地点:北京•国家会议中心 (北京市朝阳区天辰东路7号)展会规模:40000+ 展商数据400+观众
    发表于 01-14 13:41

    为什么MDK4.0以上版本编译实验二十八触摸屏会失效

    这两天在做MP3,发下了一个很奇怪的现象,只要多定义一个变量,触摸屏就失效,读出的Y坐标是0!翻一下不完全手册,发现里面提到用MDK4.0以上版本编译实验二十八触摸屏会失效,不知道原子找到原因了没有?
    发表于 05-16 02:50

    张飞硬件设计视频第二十一部

    张飞硬件设计视频第二十一部
    发表于 07-11 17:54

    2020上海第二十八届国际医疗器械展览会

    CMEH2020第二十八届中国(上海)国际医疗器械展览会时间:2020年7月1日—3日 地点:上海世博展览馆 请需要了解医疗市场想参观的企业,可以登陆 http
    发表于 12-30 08:43

    「正点原子Linux连载第二十八章多点电容触摸屏实验

    1)实验平台:正点原子Linux开发板2)摘自《正点原子I.MX6U嵌入式Linux驱动开发指南》关注官方微信号公众号,获取更多资料:正点原子第二十八章多点电容触摸屏实验 随着智能手机的发展,电容
    发表于 01-15 10:39

    购买紫光同创国产FPGA开发板PGL22G赠送紫光同创下载器一个

    https://item.taobao.com/item.htm?spm=a2oq0.12575281.0.0.50111debt3UZhU&ft=t&id=609164213721买紫光同创开发板赠送下载器一个,限时促销优惠
    发表于 04-01 17:24

    「正点原子STM32Mini板资料连载」第二十八章 数字温度传感器实验

    1)实验平台:正点原子STM32mini开发板2)摘自《正点原子STM32 不完全手册(HAL 库版)》关注官方微信号公众号,获取更多资料:正点原子第二十八章 DS18B20 数字温度传感器实验
    发表于 04-11 15:39

    「正点原子NANO STM32F103开发板资料连载」第二十八章 FLASH 模拟 EEPROM 实验

    1)实验平台:【正点原子】 NANO STM32F103 开发板2)摘自《正点原子STM32 F1 开发指南(NANO 板-HAL 库版)》关注官方微信号公众号,获取更多资料:正点原子第二十八章
    发表于 05-06 15:26

    「ALIENTEK 阿波罗 STM32F767 开发板资料连载」第二十八章 PWM DAC 实验

    1)实验平台:alientek 阿波罗 STM32F767 开发板2)摘自《STM32F7 开发指南(HAL 库版)》关注官方微信号公众号,获取更多资料:正点原子第二十八章 PWM DAC 实验上
    发表于 05-16 11:57

    正点原子开拓者FPGA开发板资料连载第二十八章EEPROM读写测试实验

    ://www.openedv.com/thread-13912-1-1.html第二十八章 EEPROM读写测试实验EEPROM是一种用于计算机系统的非易失性存储器,也常在嵌入式领域中作为数据的存储设备,在物联网及可穿戴设备等需要
    发表于 08-15 10:38

    【正点原子FPGA连载第二十八章V7725摄像头Sobel边缘检测-领航者 ZYNQ 之嵌入式开发指南

    原子公众号,获取最新资料第二十八章V7725摄像头Sobel边缘检测边缘检测是图像处理和计算机视觉中的基本问题,边缘检测的目的是标识数字图像中亮度变化明显的点。图像边缘检测大幅度地减少了数据量,并且剔除
    发表于 09-07 15:48

    【正点原子FPGA连载】第二十五章设备树下的LED驱动实验-领航者ZYNQ之linux开发指南

    原子公众号,获取最新资料第二十五章设备树下的LED驱动实验上一章我们详细的讲解了设备树语法以及在驱动开发中常用的OF函数,本章我们就开始第一个基于设备树的Linux驱动实验。本章在第二十三章实验的基础上
    发表于 09-16 17:21

    HarmonyOS内核源码分析(下)

    是操作系统的基本时间单位第十九章 CPU是韦小宝,有哪七个老婆第二十章 全盘解剖系统调用实现过程第二十一章 ARM 37个寄存器一网打尽, 不再神秘第二十二章 社会很单纯 , 复杂的是人第二十三章 所有
    发表于 04-02 15:56

    【每日一练】RT-Thread Nano-NTP-获取网络时间(第二十三节学习视频)

    本视频为【每日一练】的第二十三节学习视频,注:刚开始学习的童鞋请从第一节视频开始打卡哦(本节视频在下面打卡即可)学习任务:1、本节打卡任务大家自由发挥打卡规则详见:【每日一练】RT-Thread
    发表于 06-08 10:47

    【每日一练】RT-Thread Nano-CJSON-心知天气获取(第二十四节学习视频)

    `本视频为【每日一练】的第二十四节学习视频,注:刚开始学习的童鞋请从第一节视频开始打卡哦(本节视频在下面打卡即可)学习任务:1、本节打卡任务大家自由发挥打卡规则详见:【每日一练】RT-Thread
    发表于 06-09 10:06

    迅为iMX6ULL开发板使用手册资料下载

    按键例程第十三章 GPT定时器延时例程第十四章 串口通信例程第十五章 串口格式化函数例程第十六章 LCD屏幕显示例程第十七章 SRTC实时时钟例程十八章 I2C操作第十九章 电容触摸实验第二十章 屏幕背光调节例程第二十
    发表于 12-02 14:13

    如何使用STM32F4来读取DHT11数字温湿度传感器

    1)实验平台:alientek NANO STM32F411 V1开发板2)摘自《正点原子STM32F4 开发指南(HAL 库版》关注官方微信号公众号,获取更多资料:正点原子第二十八章 DHT11
    发表于 02-09 07:50

    【倾心力作!】i.MX8MM嵌入式linux开发指南+全覆盖开发资料

    第二十三章 安装SSH软件第二十四章 安装Samba第二十五章 Source Insight的安装和使用第二十六章 安装超级终端软件第二十七章 交叉编译器的安装和使用第二十八章 借助U盘或TF卡拷贝程序到
    发表于 02-11 10:27

    紫光同创的芯片比较难购买吗?

    想购买紫光同创logos系列的一款芯片PGL50H-MBG324,结果搜遍了各大商城和淘宝天猫,如立创商城、华秋商城、云汉芯城等。发现芯片的影子都没见到,现在都买不到芯片了还是没找对路子?国产的芯片也缺?
    发表于 03-31 16:42

    火力发电厂水汽分析方法 第二十八部分:有机物的测定(紫外吸收

    火力发电厂水汽分析方法 第二十八部分:有机物的测定(紫外吸收法) Analytical methods of steam and water in power plants Part
    发表于 06-08 12:10 26次下载

    实时频谱仪原理及操作指南

    实时频谱仪原理及操作指南:第十八章显示行.233第十九章 W-CDMA 下行分析仪(选件22).236第二十章 W-CDMA 上行分析253第二十一章 文件操作.268第二十二章 文件格式.276
    发表于 10-17 17:37 88次下载

    实用双向可控硅应用500例二十八

    实用双向可控硅应用500例二十八
    发表于 09-19 11:56 17次下载
    实用<b>双向</b>可控硅应用500例<b>二十八</b>类

    HDLBits:在线学习 Verilog (二十八 · Problem 135-139)

    HDLBits:在线学习 Verilog (二十八 · Problem 135-139)
    发表于 11-24 14:06 41次下载
    HDLBits:在线学习 Verilog (<b>二十八</b> · Problem 135-139)

    【正点原子FPGA连载】第二十五章HDMI方块移动实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    【正点原子FPGA连载】第二十五章HDMI方块移动实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
    发表于 11-24 14:36 13次下载
    【正点原子<b>FPGA</b>连载】<b>第二十</b>五章HDMI方块移动实验 -摘自【正点原子】新起点之<b>FPGA</b>开发指南_V2.1

    【正点原子FPGA连载】第二十三章RGB-LCD字符和图片显示实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    【正点原子FPGA连载】第二十三章RGB-LCD字符和图片显示实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
    发表于 11-24 14:36 13次下载
    【正点原子<b>FPGA</b>连载】<b>第二十</b>三章RGB-LCD字符和图片显示实验 -摘自【正点原子】新起点之<b>FPGA</b>开发指南_V2.1

    【正点原子FPGA连载】第二十七章DS18B20数字温度传感器实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    【正点原子FPGA连载】第二十七章DS18B20数字温度传感器实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1
    发表于 11-25 11:06 24次下载
    【正点原子<b>FPGA</b>连载】<b>第二十</b>七章DS18B20数字温度传感器实验 -摘自【正点原子】新起点之<b>FPGA</b>开发指南_V2.1

    stm32温湿度传感器报告_「正点原子NANO STM32开发板资料连载」第二十八章 DHT11 数字温湿度传感器实验...

    1)实验平台:alientek NANO STM32F411 V1开发板2)摘自《正点原子STM32F4 开发指南(HAL 库版》关注官方微信号公众号,获取更多资料:正点原子第二十八章 DHT11
    发表于 12-05 16:36 14次下载
    stm32温湿度传感器报告_「正点原子NANO STM32开发板资料连载」<b>第二十八章</b>  DHT11 数字温湿度传感器实验...

    海思AI芯片(Hi3519A/3559A)方案学习(二十八)Ruyistudio下的仿真代码编译

    本系列为华为海思海思AI芯片(Hi3519A/3559A)方案学习系列之二十八,系列文章请关注海思AI芯片方案学习。作者:ltshan139
    发表于 01-26 18:34 1次下载
    海思AI芯片(Hi3519A/3559A)方案学习(<b>二十八</b>)Ruyistudio下的仿真代码编译

    第二十五届沙特国际汽车及汽车配件展览会

    第二十五届沙特国际汽车及汽车配件展览会展会时间:2006年11月26-30日展会地点:沙特阿拉伯利雅得会展中心参展范围:各种汽车、 汽车配件组件及零部件、维修保养
    发表于 04-15 17:05 603次阅读

    第二十讲 加法器和数值比较器

    第二十讲 加法器和数值比较器 6.6.1 加法器一、半加器1.含义 输入信号:加数Ai,被加数Bi 输出信号:本位和Si,向高位
    发表于 03-30 16:24 4621次阅读
    <b>第二十</b>讲 加法器和数值比较器

    第二十一讲 组合逻辑电路中的竞争冒险

    第二十一讲 组合逻辑电路中的竞争冒险 6.7.1 竞争冒险现象及其产生的原因一、竞争、冒险1.理想情况2.实际情况3.竞
    发表于 03-30 16:25 2066次阅读
    <b>第二十</b>一讲 组合逻辑电路中的竞争冒险

    第二十二讲 同步时序逻辑电路的分析方法

    第二十二讲 同步时序逻辑电路的分析方法 内容提要7.1 概述一、时序电路的定义二、电路构成三、分类:1 同步2 异
    发表于 03-30 16:26 4466次阅读
    <b>第二十</b>二讲 同步时序逻辑电路的分析方法

    第二十三讲 异步计数器

    第二十三讲 异步计数器 概述一、计数器:用以统计输入计数脉冲CP个数的电路。计数器的“模”(用M表示): 二、 计数器的分类1.按计数进制分2.按计数
    发表于 03-30 16:27 6766次阅读
    <b>第二十</b>三讲 异步计数器

    第二十五讲 同步计数器

    第二十五讲 同步计数器 7.3.2 同步计数器一、同步二进制计数器1.同步二进制加法计数器JK触发器组成的4位同步二进制加法
    发表于 03-30 16:28 7296次阅读
    <b>第二十</b>五讲 同步计数器

    第二十六讲 寄存器和移位寄存器

    第二十六讲 寄存器和移位寄存器7.4.1 寄存器1.定义2.电路举例 3.逻辑功能分析7.4.2 移位寄存器一、单向移位寄存器㈠ 由4个维持阻塞D触发器组成4位右移
    发表于 03-30 16:30 8076次阅读
    <b>第二十</b>六讲 寄存器和移位寄存器

    第二十七讲 同步时序逻辑电路的设计

    第二十七讲 同步时序逻辑电路的设计 7.5 同步时序逻辑电路的设计用SSI触发器16进制以内7.5.1 同步时序逻辑电路的设计方法
    发表于 03-30 16:31 2942次阅读
    <b>第二十</b>七讲 同步时序逻辑电路的设计

    第二十八讲 数模和模数转换器

    第二十八讲 数模和模数转换器 第8章 数模和模数转换器8.1 概述 8.2 D/A转换器8.2.3 R-2R倒 T形电阻网络D
    发表于 03-30 16:34 2228次阅读
    <b>第二十八</b>讲 数模和模数转换器

    第二十九讲 A/D转换器

    第二十九讲 A/D转换器 8.3 A/D转换器8.3.1 A/D转换的一般步骤一、采样一保持二、量化与编码 8.3.3逐次
    发表于 03-30 16:35 986次阅读
    <b>第二十</b>九讲 A/D转换器

    模拟电路网络课件 第二十三节:乙类双电源互补对称功率放大电路

    模拟电路网络课件 第二十三节:乙类双电源互补对称功率放大电路 5.2.1 电路的组成
    发表于 09-17 08:56 1666次阅读

    模拟电路网络课件 第二十节:场效应管放大电路

    模拟电路网络课件 第二十节:场效应管放大电路 4.3 场效应管放大电路 4.3.1 直流偏置电路及静态分析 一、直流偏置电路
    发表于 09-17 10:57 2296次阅读
    模拟电路网络课件 <b>第二十</b>节:场效应管放大电路

    模拟电路网络课件 第二十一节:场效应管与BJT放大电路的比较

    模拟电路网络课件 第二十一节:场效应管与BJT放大电路的比较 场效应管放大电路与BJT放大电路的性能比较
    发表于 09-17 11:01 1024次阅读
    模拟电路网络课件 <b>第二十</b>一节:场效应管与BJT放大电路的比较

    模拟电路网络课件 第二十二节:功率放大电路的一般问题

    模拟电路网络课件 第二十二节:功率放大电路的一般问题 5.1 功率放大电路的一般问题 一、功率放大电路的定义
    发表于 09-17 11:05 657次阅读

    模拟电路网络课件 第二十四节:甲乙类互补对称功率放大电路

    模拟电路网络课件 第二十四节:甲乙类互补对称功率放大电路 5.2 甲乙类互补对称功率放大电路 乙类放大电路的失真:
    发表于 09-17 11:14 2296次阅读
    模拟电路网络课件 <b>第二十</b>四节:甲乙类互补对称功率放大电路

    模拟电路网络课件 第二十五节:集成运算放大器中的电流源

    模拟电路网络课件 第二十五节:集成运算放大器中的电流源 6.1 集成运算放大器中的电流源 一、三极管电流源
    发表于 09-17 11:23 1684次阅读
    模拟电路网络课件 <b>第二十</b>五节:集成运算放大器中的电流源

    模拟电路网络课件 第二十六节:差分式放大电路

    模拟电路网络课件 第二十六节:差分式放大电路 6.2 差分式放大电路 一、直接耦合多级放大电路的零点漂移
    发表于 09-17 11:35 1410次阅读
    模拟电路网络课件 <b>第二十</b>六节:差分式放大电路

    模拟电路网络课件 第二十七节:集成电路运算放大器

    模拟电路网络课件 第二十七节:集成电路运算放大器 6.3  集成电路运算放大器 一、简单的集成电路运算放大器
    发表于 09-17 11:38 881次阅读

    模拟电路网络课件 第二十八节:集成电路运算放大器的参数

    模拟电路网络课件 第二十八节:集成电路运算放大器的参数 运算放大器的参数 。VIO的大小反应了运放制造中电路的对称程度和电位配合情况。VIO值
    发表于 09-17 11:39 494次阅读
    模拟电路网络课件 <b>第二十八</b>节:集成电路运算放大器的参数

    2017中国西部微波射频技术研讨会暨第二十三届国际电子测试测量研讨会

    2017中国西部微波射频技术研讨会暨第二十三届国际电子测试测量研讨会
    发表于 07-05 15:12 768次阅读

    紫光同创:面对国产FPGA的挑战,制定针对性的发展策略

    FPGA领域,国外几家大厂一直占据明显优势。我国集成电路产业的振兴,国产FPGA的发展不可或缺。国产FPGA厂商发展如何?面临哪些机遇和挑战?第88届中国电子展期间,深圳市紫光同创电子有限公司常务副总裁王佩宁先生接受了AET记者专访,对紫光
    发表于 07-11 10:01 1.1w次阅读

    国产FPGA厂商强势发力 紫光同创大幅增资加速28nm研发

    作为紫光集团从“芯”到“云”战略中芯片板块的重点发展方向,深圳市紫光同创电子有限公司的发展得到了紫光集团的重点扶持。近日,在紫光集团的大力扶持下,国内FPGA龙头企业--紫光同创成功增资扩股,据了解
    的头像 发表于 08-16 10:06 1.1w次阅读

    第二十届中国机器人及人工智能大赛在佛山举行

    10月25日,来自清华大学、复旦大学、哈尔滨工业大学等78所国内高校的200多支参赛队伍在广东佛山顺德区进行的第二十届中国机器人及人工智能大赛上展开“激战”。
    的头像 发表于 10-29 16:03 3979次阅读

    2019第二十八届中国国际信息通信展览会

    2019第二十八届中国国际信息通信展览会(PT展)展览时间:2019年10月31日-11月3日展览地点:北京•国家会议中心 (北京市朝阳区天辰东路7号)展会规模:40000+ 展商数据400+
    发表于 01-21 09:50 110次阅读

    第二十届深圳国际机械制造工业展览会(SIMM2019)3月鹏城启幕

    第二十届深圳国际机械制造工业展览会(SIMM 2019深圳机械展)将于3月28-31日在深圳会展中心隆重开幕。
    发表于 03-13 16:56 1799次阅读

    紫光同创FPGA芯片目前已经有几个系列的产品完成了开发

    5月16日,紫光国微在投资者交流活动中表示,公司子公司紫光同创FPGA芯片目前已经有几个系列的产品完成了开发,正在提供给客户试用,出货量还较小。
    发表于 05-17 15:34 8626次阅读

    紫光同创将大幅增资加速发展FPGA的研发

    作为紫光集团从“芯”到“云”战略中芯片板块的重点发展方向,深圳市紫光同创电子有限公司的发展得到了紫光集团的重点扶持。
    发表于 08-16 17:49 1737次阅读

    紫光同创亮相ELEXCON 2019,引领国产FPGA迈入新高度!

    12月19日,ELEXCON2019深圳国际电子展在深圳会展中心盛大开幕。紫光同创旗下全系列FPGA产品、软件及IP、开发板及应用解决方案悉数亮相,全面展现了国产FPGA产业最新成果和市场竞争力
    发表于 12-20 16:39 1799次阅读

    紫光同创PGL22G开发平台试用—开发板硬件软件拆解详解

    倾慕国产紫光同创FPGA已久,感谢电子创新网,通过了PGL22G开发板试用活动评审,开启了与国产黑金FPGA开发板一段美好的邂逅。 硬件篇 先上开发板的靓照: 开发板的系统结构图如下: 开发板
    的头像 发表于 11-26 14:24 1.1w次阅读

    【ZYNQ Ultrascale+ MPSOC FPGA教程】第二十八章PS端EMIO的使用

    如果想用PS点亮PL的LED灯,该如何做呢?一是可以通过EMIO控制PL端LED灯,二是通过AXI GPIO的IP实现控制。本章介绍如何使用EMIO控制PL端LED灯的亮灭。同时也介绍了,利用EMIO连接PL端按键控制PL端LED灯。
    的头像 发表于 01-30 10:05 6107次阅读
    【ZYNQ Ultrascale+ MPSOC <b>FPGA</b>教程】<b>第二十八章</b>PS端EMIO的使用

    紫光同创国产FPGA教程 第一章】Pango Design Suite 2020.3安装

    ango Design Suite是深圳市紫光同创电子有限公司的FPGA开发软件,截止到目前,软件的最新版本为Pango Design Suite 2020.3。
    的头像 发表于 01-26 09:51 1.1w次阅读
    【<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>教程 第一章】Pango Design Suite 2020.3安装

    紫光同创国产FPGA教程】【第十八章】AD实验之AD7606波形显示

    本实验练习使用ADC,实验中使用的ADC模块型号为AN706,最大采样率200Khz,精度为16位。实验中把AN706的2路输入以波形方式在HDMI上显示出来,我们可以用更加直观的方式观察波形,是一个数字示波器雏形。
    的头像 发表于 02-25 11:20 7873次阅读
    【<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>教程】【第<b>十八章</b>】AD实验之AD7606波形显示

    紫光同创国产FPGA教程】【第二十章】AD9767双通道正弦波产生例程

    采用ANALOG DEVICES公司的AD9767芯片,支持独立双通道、14位、125MSPS的数模转换。在教程中介绍了利用该模块与FPGA开发板相连输出双通道14位的正弦波,然后通过示波器查看把输出的正弦波的波形。
    的头像 发表于 03-10 13:29 1.3w次阅读
    【<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>教程】【<b>第二十</b>章】AD9767双通道正弦波产生<b>例程</b>

    紫光同创国产FPGA教程】【第二十一章】AD9767双通道三角波产生例程

    例程中提供了AN9767模块的DA测试程序,通过AN9767模块来实现三角波信号的输出。 三角波测试程序是通过在FPGA中产生一个计数模块,然后把计数模块的数据输出给AN9767模块进行数模的转换,从而得到三角波的模拟信号。
    的头像 发表于 03-10 13:30 1.1w次阅读

    紫光同创国产FPGA教程】【第二十二章】RTC时间实验

    RTC(Real-Time Clock)实时时钟为系统提供一个可靠的时间,并且在断电的情况下,RTC实时时钟也可以通过电池供电,一直运行下去。RTC通过类SPI总线向FPGA传送8位数据(BCD码)。数据包括秒,分,小时,日期,天,月和年。在本实验中我们将读取RTC的时,分,秒的数据通过串口发送到PC。
    的头像 发表于 03-10 13:31 1.5w次阅读
    【<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>教程】【<b>第二十</b>二章】RTC时间实验

    紫光同创国产FPGA教程】【第二十三章】千兆以太网传输实验

    本实验将实现FPGA芯片和PC之间进行千兆以太网数据通信, 通信协议采用Ethernet UDP通信协议。 FPGA通过RGMII总线和开发板上的Gigabit PHY芯片通信, Gigabit
    的头像 发表于 04-07 10:38 1.3w次阅读
    【<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>教程】【<b>第二十</b>三章】千兆以太网传输实验

    紫光同创国产FPGA教程】【第二十四章】AD9238以太网传输

    使用ADC的以太网传输,实验中使用的ADC模块型号为AN9238,最大采样率65Mhz,精度为12位。基于前面讲到的以太网实验,在本实验中把AN9238的采集数据以千兆以太网方式传输到上位机软件,我们可以用更加直观的方式观察波形,是一个数字示波器雏形,并且可以保存ADC数据。
    的头像 发表于 04-08 09:13 9202次阅读
    【<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>教程】【<b>第二十</b>四章】AD9238以太网传输

    紫光同创国产FPGA教程】【第二十六章】AD9280以太网传输

    本实验练习使用ADC的以太网传输,实验中使用的ADDA模块型号为AN108,ADC最大采样率32Mhz,精度为8位。基于前面讲到的以太网实验,在本实验中把AN108的采集数据以千兆以太网方式传输到上位机软件,我们可以用更加直观的方式观察波形,是一个数字示波器雏形,并且可以保存ADC数据。
    的头像 发表于 04-13 10:26 1.6w次阅读
    【<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>教程】【<b>第二十</b>六章】AD9280以太网传输

    紫光同创国产FPGA教程】【第二十七章】千兆以太网视频传输实验

    简介 本实验将实现视频图像的以太网传输,也相当于用 FPGA 来实现网络摄像头的功能。这里采用黑金的 500 万摄像头 AN5642 模组,通过配置 OV5640 的寄存器实现 JPEG 视频压缩的图像
    的头像 发表于 04-12 10:29 1.2w次阅读
    【<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>教程】【<b>第二十</b>七章】千兆以太网视频传输实验

    ALINX紫光同创国产FPGA开发板PGL12G发布

    由ALINX联合紫光同创共同推出的logos系列PGL12G开发板正式发布了,这款板卡充分利用了有效资源帮助工程师进行前期的芯片功能验证,也可以让学生群里进行入门级的学习,了解国产FPGA的芯片开发
    的头像 发表于 04-09 10:50 1.2w次阅读
    ALINX<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>开发板PGL12G发布

    ALINX紫光同创国产FPGA开发板PGL22G发布

    由ALINX联合紫光同创共同推出的logos系列PGL22G开发板正式发布了,这款板卡是利用核心板+扩展板的方式设计,充分利用了芯片有效资源帮助工程师进行前期的芯片功能验证,芯片支持DDR3,有用
    的头像 发表于 04-08 10:53 1.3w次阅读
    ALINX<b>紫光</b><b>同创</b><b>国产</b><b>FPGA</b>开发板PGL22G发布

    晶能光电亮相第二十七届广州国际照明展览会

    2022年8月3-6日,以“新时代、新担当”为主题的第二十七届广州国际照明展览会(简称“光亚展”)在广州中国进出口商品交易会展馆盛大启航。
    的头像 发表于 08-05 15:12 2644次阅读

    紫光同创Titan3系列新一代FPGA产品性能指标及目标市场前景

    8月,紫光集团董事长、执行委员会主席李滨一行莅临紫光同创调研考察,在紫光同创董事长兼总裁祝昌华及管理层等干部的陪同下,参观了紫光同创公司展厅,并与紫光同创一级部门主管共同交流探讨企业未来发展方向。
    的头像 发表于 09-01 09:52 2149次阅读

    2022年第二十四届高交会绿色低碳路线

    2022年第二十四届高交会绿色低碳路线 第二十四届中国国际高新技术成果交易会(简称:高交会)于2022年11月15-19日在深圳火热举办中! 本次展会以”科技改革驱动创新,科技创新驱动发展”为主
    发表于 11-17 15:07 166次阅读
    2022年<b>第二十</b>四届高交会绿色低碳路线

    第二十四届高交会闭幕,普渡科技获评“最佳品牌奖”

    第二十四届高交会闭幕,普渡科技机器人获评“最佳品牌奖” 备受瞩目的第二十四届中国国际高新技术成果交易会(以下简称高交会)在深圳顺利闭幕。展会期间,普渡科技的精彩亮相吸引了众多展商、观众、媒体记者等
    的头像 发表于 12-13 11:06 293次阅读
    <b>第二十</b>四届高交会闭幕,普渡科技获评“最佳品牌奖”

    第二十届电感变压器产业链峰会正式启动!

    第二十届(华南)中国磁性元器件行业智能生产暨高性能材料应用技术峰会(又称“电感变压器产业链峰会”)将于5月19日子啊东莞嘉辉会酒店盛大开启!
    的头像 发表于 04-10 15:05 410次阅读

    【参展消息】格瑞普将携创新产品与您相约第二十四届高交会

    参展消息第二十四届中国国际高新技术成果交易会(CHTF2022)(简称:高交会)激发科技活力,展示科技成果来自格瑞普的邀请函2022年第二十四届中国国际高新技术成果交易会,将于本月11月15日-19
    的头像 发表于 11-16 15:27 0次阅读
    【参展消息】格瑞普将携创新产品与您相约<b>第二十</b>四届高交会

    下载硬声App