电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>Cadence和台积电加强合作,共同为16纳米FinFET工艺技术开发设计架构

Cadence和台积电加强合作,共同为16纳米FinFET工艺技术开发设计架构

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

高雄厂将以 2 纳米先进制程技术进行生产规划

来源:经济日报 台湾地区《经济日报》消息,近日宣布,为满足先进制程技术的强劲市场需求,高雄厂确定以 2 纳米的先进制程技术进行生产规划。至此,将拥有三个2 纳米生产基地。 据台湾地区
2023-08-09 18:21:09480

Cadence 数字、定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此工艺节点。 与此同时,Cadence 和 Intel 共同发布
2023-07-14 12:50:02178

行业首创!恩智浦携手,推出汽车级16纳米FinFET嵌入式MRAM

恩智浦和联合开发采用16纳米FinFET技术的嵌入式MRAM IP  借助MRAM,汽车厂商可以更高效地推出新功能,加速OTA升级,消除量产瓶颈 恩智浦计划于2025年初推出采用该技术
2023-05-26 20:15:02190

新思科技、公司和Ansys强化生态系统合作,共促多裸晶芯片系统发展

3DFabric™技术和3Dblox™标准中的合作,新思科技能够为公司先进的7纳米、5纳米和3纳米工艺技术上的多裸晶芯片系统设计,提供业界领先的全方位EDA和IP解决方案。公司先进工艺技术集成
2023-05-18 16:04:08573

Ansys多物理场解决方案通过N2芯片工艺认证

设计基础架构管理部负责人Dan Kochpatcharin表示:“始终与我们的Open Innovation Platform(OIP)生态系统合作伙伴密切合作最先进的N2工艺全套设计解决方案
2023-05-12 11:33:33458

Cadence合作开发N16 79GHz毫米波设计参考流程,助力雷达、5G和无线创新

Cadence早已达成了长期合作,而利用这个最新成果,双方的共同客户可以使用完整的 N16 工艺 79GHz 毫米波设计参考流程来开发优化的、更可靠性的下一代 RFIC 设计,用于移动、汽车、医疗保健
2023-05-09 15:04:431049

Cadence定制设计迁移流程加快N3E和N2工艺技术的采用速度

,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程由 Cadence共同开发,旨在实现定制和模拟 IC 设计在台工艺技术之间的自动迁移。与人工迁移相比,已使用该流程的客户成功地将迁移时间缩短了 2.5 倍。
2023-05-06 15:02:15577

Cadence成功流片基于N3E工艺16G UCIe先进封装 IP

来源:Cadence楷登 2023年4月26日,楷登电子近日宣布基于 3nm(N3E)工艺技术Cadence® 16G UCIe™ 2.5D 先进封装 IP 成功流片。该 IP 采用
2023-04-27 16:35:40243

Cadence拓展与和微软的合作,携手推进云端千兆级物理验证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布扩大与和微软的合作,致力于加快千兆级规模数字设计的物理验证。通过此次最新合作,客户可以在带有 Cadence
2023-04-26 18:05:45504

向学界开放16nm FinFET技术

宣布推出大学FinFET专案,目的在于培育未来半导体芯片设计人才并推动全球学术创新。
2023-04-23 09:29:034977

官方对外开放16nm FinFET技术

官网宣布推出大学FinFET专案,目的在于培育未来半导体芯片设计人才并推动全球学术创新。
2023-02-08 11:21:01196

:未来十年的CMOS器件技术

sloped fin walls )的 22 纳米发展到今天更加垂直的壁(vertical walls)和为其 5 纳米工艺实施的高迁移率沟道 FinFET
2023-01-04 15:49:23641

先进制程和封装改进功率、性能和面积

是全球排名第一的半导体代工企业,他们的开放式创新平台 (OIP) 活动很受欢迎,参加人数也很多,因为所提供的工艺技术和 IP 对许多半导体设计领域都非常有吸引力。技术路线图显示了到 2025 年的 FinFET 和 Nanosheet 计划的时间表。
2022-11-29 16:02:58478

Ansys多物理场解决方案荣获N4工艺技术和FINFLEX™架构认证

工艺技术的FINFLEX架构认证 此外,该认证也可扩展到N4工艺技术 Ansys宣布Ansys电源完整性解决方案荣获FINFLEX创新架构以及N4工艺技术认证,持续深化与的长期技术合作
2022-11-17 15:31:57502

Cadence数字和定制/模拟设计流程获得最新N4P和N3E工艺认证

设计创新。客户已开始使用最新的工艺技术和经过认证的 Cadence 流程来实现最佳的功率、性能和面积(PPA)目标,并缩短上市时间。
2022-10-27 11:01:37673

3nm即将量产 2nm预计2025年量产

  最近,总裁魏哲佳出席2022技术论坛,他表示的3纳米工艺技术即将量产,2纳米工艺保证在2025年量产。
2022-08-31 16:40:442855

2纳米工艺技术的最新进展

在2022年北美技术论坛上,表示3纳米预计于今年下半年量产,并将搭配TSMC FINFLEX架构
2022-07-01 15:02:16870

电能造出2纳米芯片吗

在美国当地时间16举办的2022年北美技术论坛上表示,将推出采用纳米晶体管下一下代先进2纳米制程技术,外界也推测或将成为全球第一家率先推出的2纳米制程工艺的晶圆厂。
2022-06-30 16:27:121263

美日联手对抗,欲攻克2nm工艺技术

据日经新闻近日报道称,美国与日本就2nm工艺研发一事展开了技术合作,将有美日双方数家高科技公司参与该协议。 目前的芯片代工领域,中国台湾的毫无疑问坐在了龙头的位置,全球63%芯片代工的市场份额
2022-05-07 15:37:51901

:预计2022年完成5纳米系统整合单芯片开发

公司近日发布数据称公司的芯片制程技术已发展至5纳米进程,预计将会在2022年前将会完成5纳米的SoIC开发。据悉,苹果新旗舰iPhone 13系列的A15仿生处理器采用的是代工厂5纳米工艺制程。
2021-09-28 16:22:312594

三星宣布其基于栅极环绕型晶体管架构的3nm工艺技术已经正式流片

目前从全球范围来说,也就只有和三星这两家能做到5纳米工艺以下了。6月29日晚间,据外媒报道,三星宣布其基于栅极环绕型 (Gate-all-around,GAA) 晶体管架构的3nm工艺技术已经
2021-07-02 11:21:542065

或将“独吞”A7大单

有机会“独吞”A7代工订单。  作为全球规模最大的专业集成电路制造公司,其技术优势的领先,在业界可谓屈指可数。积极开发20纳米制程,花旗环球证券指出,在技术领先MAX3232EUE+T优势下,未来1
2012-09-27 16:48:11

SiFive成功采用N5工艺技术首个SoC

最大的RISC-V架构厂商SiFive近日宣布,其OpenFive部门已成功采用(TSMC)的N5工艺技术流片公司首个SoC,采用2.5D封装HBM3存储单元,带宽7.2Gbps。在半导体行业中,流片意味着芯片设计大功告成,一般会在一年内投入商用。
2021-05-01 09:33:002793

携手苹果合作开发Micro OLED面板

作为苹果的长期合作伙伴,传出双方正携手合作开发Micro OLED面板,预计用于苹果全新的扩增实境(AR)产品,目前已在桃园龙潭秘密研发。业界人士认为,随着技术的推进,整合这些先进芯片的封装技术面临的挑战,若顺利,将使成为握有新一代显示技术的关键厂商。
2021-02-19 16:43:051932

迎来超级客户Intel,或因此面临5nm工艺产能不足的困扰

的第二大客户,两者从2014年的16nm工艺开始合作,2014年的16nm工艺表现不佳,性能参数甚至不如20nm工艺,但是华为海思坚持采用,那时候16nm工艺仅有华为海思和另一家客户,由此结成了紧密合作关系,此后双方共同研发先进工艺,而华为则会率先采用后者的先进
2021-01-15 11:01:231489

独吞iPhone处理器订单的秘密

时间拉回2015 年,三星和分头生产苹果iPhone 使用的A9 处理器;三星是全球记忆体龙头,拿出14 纳米技术生产晶片,用的是16 纳米和InFO 封装技术。结果,网友发现,三星版晶片续航力不如版,从此年年独吞iPhone 处理器订单。
2021-01-07 17:35:122322

计划今年3nm工艺将完成试生产

外媒报道,和三星在3nm工艺技术开发中遇到了不同却关键的瓶颈。 因此,和三星将不得不推迟3nm工艺技术开发进度。
2021-01-05 09:39:261532

FinFET 和三星 GAA 在 3nm 制程技术遇瓶颈,量产时间恐将推迟

据 Digitimes 报道,业内人士透露, FinFET 和三星 GAA 在 3nm 制程技术开发过程中都遇到了不同但关键的瓶颈。报道称,和三星因此将不得不推迟 3nm 制程工艺
2021-01-04 16:20:102111

苹果已预定3纳米芯片生产

12月23日消息,来自供应链方面的消息称,最初生产的采用全新3纳米工艺的芯片已被苹果订购用于其iOS和采用苹果自主开发的处理器的设备。
2020-12-23 10:11:361399

和Google合作 推动3D芯片制程工艺生产

他们未来的3nm工厂,预计2022年下半年3nm工艺就会投产。 当然随着半导体工艺的逐渐发展,工艺的升级也逐渐困难,所需的投入也越来越大,报团合作也越来越多,拉了Google和AMD过来合作正在和Google合作,以推动3D芯片制
2020-11-30 15:50:10673

2024年能不能量产2纳米工艺晶体管

众所周知,的2nm工艺将采用差分晶体管设计。该设计被称为多桥沟道场效应(MBCFET)晶体管,它是对先前FinFET设计的补充。
2020-09-26 10:31:121277

将于2024年量产2纳米工艺晶体管

。    目前,的最新制造工艺是其第一代5纳米工艺,该工艺将用于为iPhone 12等设备构建处理器。    的2nm工艺将采用差分晶体管设计。该设计被称为多桥沟道场效应(MBCFET)晶体管
2020-09-25 17:08:151184

2023年2纳米工艺芯片的良率将达到90%

的2nm工艺将采用差分晶体管设计。该设计被称为多桥沟道场效应(MBCFET)晶体管,它是对先前FinFET设计的补充。
2020-09-25 09:27:141619

特斯拉与合作开发7nm自动驾驶芯片,华为再次受到挫折

认为,特斯拉寻求与合作,看上的是的7nm芯片能力,使用的是7nm制程工艺生产,并且是第一个使用SOW先进封装技术的产品。
2020-08-19 10:37:262335

2020年上半年出货1330万片 拿下博通特斯拉合作的HPC芯片代工订单

据台湾《工商时报》报道,晶圆龙头再传订单新闻,业界指出,全球IC设计龙头博通与特斯拉共同开发的新款高效能(HPC)芯片,将以7纳米先进制程投片,并采用整合型扇出(InFO)系统单晶圆先进封装技术,预计第四季开始生产,初期头片约达2000片规模。
2020-08-17 14:41:504953

将继续采用FinFET晶体管技术,有信心保持良好水平

3纳米将继续采取目前的FinFET晶体管技术,这意味着确认了3纳米工艺并非FinFET技术的瓶颈,甚至还非常有自信能够在相同的FinFET技术下,在3纳米制程里取得水准以上的良率。这也代表着的微缩技术远超过其他的芯片制造商。
2020-06-12 17:31:232807

中芯国际从手中夺得海思14纳米FinFET工艺芯片代工订单

关注半导体产业的台湾《电子时报》(DigiTimes)1 月 13 日报道称,中国大陆芯片代工厂商中芯国际击败,夺得华为旗下芯片企业海思半导体公司的 14 纳米 FinFET 工艺芯片代工订单。
2020-01-16 09:00:014947

三星开始批量生产6纳米芯片,缩小与差距

三星于去年4月向全球客户提供7纳米产品,自开始大规模生产7纳米产品以来,三星仅在八个月内就推出了6纳米产品。三星的微加工工艺技术升级周期正在缩短,特别是向6纳米EUV工艺的过渡有望缩小与全球第一大晶圆代工厂(TSMC)的差距。
2020-01-06 11:40:032866

高通副总裁:选择和三星为了供货充足和供应多样

高通最新发布的骁龙865采用的7纳米制程工艺,准确地说是第二代7nm FinFET或被称为N7P,而骁龙765/765G则是采用三星的7nm EUV工艺
2019-12-06 11:30:463239

瑞萨与合作开发28nm纳米嵌入式闪存制程技术

瑞萨电子与共同宣布,双方合作开发28纳米嵌入式闪存(eFlash)制程技术,以生产支持新一代环保汽车与自动驾驶汽车的微控制器(MCU)。
2019-11-29 11:13:162059

益华计算机宣布已与合作 助推5纳米FinFET制程技术制造交付

Cadence客制/类比工具获得领先业界的5纳米制程技术认证,这些工具包括Spectre加速平行模拟器(APS)、Spectre eXtensive分割模拟器(XPS)、Spectre RF
2019-05-07 16:29:202348

PK三星 6纳米制程明年首季试产

4月16日晚宣布,推出6纳米(N6)制程技术,大幅强化7纳米(N7)技术。据其日前宣布5纳米已进入试产,无疑越接近摩尔定律的极限。每隔一纳米,都要在7、6、5纳米制程一路通吃。值得注意的是,其主要竞争对手三星也在日前宣布完成5纳米EUV工艺研发,并已送样给客户,双雄竞争不相上下。
2019-04-18 11:15:24641

三星宣布已完成5纳米FinFET工艺技术开发

4月16日,三星官网发布新闻稿,宣布已经完成5纳米FinFET工艺技术开发,现已准备好向客户提供样品。
2019-04-16 17:27:232870

华为海思正进行麒麟985试产 或成为7纳米加强版制程的首个客户

根据外媒报导,目前中国华为海思正在进行新一代的旗舰处理器麒麟 985 的试产,并预计搭配在华为的 Mate 30 新款智能型手机上首发。而该款处理器将采用内含 EUV 技术的 7 纳米加强版制程,将可能是 7 纳米加强版制程的首个客户。
2019-03-26 17:05:176524

麒麟990将是第一个采用加强版7纳米+制程的处理器

根据外媒报道,在当前最先进的 7 纳米处理器大战中,首先取得先发的华为海思,由于与晶圆代工龙头合作关系密切。因此,预计在 2019 年第 1 季,开始量产内含 EUV (极紫外光刻)技术加强版 7 纳米 + 制程之际,华为海思下一代的麒麟 990 处理器也将会是首发的处理器。
2018-12-28 16:50:526599

3纳米技术有望突破 5纳米明年试产

7纳米制程明年营收占比估逾2成,有望持续领先对手,7纳米制程加强版以及5纳米进度也按计划进行,3纳米制程技术更已进入实验阶段,业内人士更透露,3纳米制程在"Gate All Around(GAA) "、环绕式闸极技术上已有新突破。
2018-11-05 16:39:464307

联手AVALANCHE 合作开发28纳米MRAM技术

据台湾经济日报最新消息,联(2303)与下一代ST-MRAM(自旋转移力矩磁阻RAM)领导者美商Avalanche共同宣布,合作技术开发MRAM及相关28纳米产品;联即日起透过授权,提供客户具有成本效益的28纳米嵌入式非挥发性MRAM技术
2018-08-09 10:38:123012

7纳米工艺已投入生产 5nm明年底投产

据国外媒体报道,芯片代工商 的CEO魏哲家日前透露,他们的7纳米工艺已投入生产,更先进的5纳米工艺最快会在明年底投产。
2018-08-01 16:48:343041

宣布,将投资250亿美元研发5纳米制造工艺

中国台湾地区的,是全球遥居第一名的半导体代工企业,占据了一半的市场份额,依托优秀的半导体制造技术成为行业巨无霸。据外媒最新消息,该公司最新宣布,将投资250亿美元研发5纳米制造工艺
2018-06-23 10:04:001304

制造工艺落后于三星可能导致失去客户

FinFET;而三星则采取了激进的策略,当然它挖来台FinFET技术专家梁孟松也起了很大的作用,全力研发14nmFinFET工艺,终于在2015年初成功投产领先约半年时间。
2018-05-25 14:36:313196

将使用7纳米FinFet生产麒麟980芯片

麒麟970是华为自研的一款芯片,这款芯片采用的是的10纳米制造工艺,目前麒麟970已经被装备在华为刚发布不久的P20和P20 Pro上。此前有传闻指出,三星将负责代工华为下一代麒麟980芯片,不过今天有报告指出,麒麟980的大部分订单将继续由生产。
2018-05-11 17:43:002662

楷登电子公布与台湾积体电路公司全新 12FFC 紧凑型工艺技术开发合作内容

楷登电子(美国 Cadence 公司)今日正式公布其与台湾积体电路制造股份有限公司(TSMC)全新12nm FinFET紧凑型(12FFC)工艺技术开发合作内容。凭借Cadence 数字
2018-05-08 11:07:001304

南京厂16纳米量产_京东方液晶面板出货量首居全球第一

南京厂16纳米量产,比特大陆为首批客户 南京12英寸厂首批16纳米晶圆近期正式量产出货,展现仅花20个月从动土到正式出货的高效率能力。南京厂是目前中国制程技术最先进的晶圆
2018-05-05 01:26:005891

借力,海思推16nm网络处理器

强力证明了双方深入合作的成果,同时也展现了坚持提供业界领先技术的承诺,以满足客户对下一世代高效能及具节能效益产品之与日俱增的需求。 16FinFET工艺能够显著改善速度与功率,并且降低漏电流,有效克服先进系统单芯片技术微缩时所产生的关键障碍。相
2018-02-17 15:12:30414

7nm订单侧面解读 带领大陆半导体行业发展

据报道,7 纳米工艺已经获得50 多家客户的订单,比特大陆也也是重大大陆客户。此外,海思在 10 纳米制程芯片方面也与积极合作,同时高通下一代骁龙 855 处理器平台也将采用 7 纳米工艺
2018-01-24 11:26:471200

仅次于10nm工艺,引入最先进16nm工艺,预计明年5月投产

南京工厂将会在明年5月提前量产30mm晶圆,据悉,会引进16nm FinFET制造工艺,仅次于10nm FinFET,并在南京设立一个设计服务中心来吸引客户订单。
2017-12-10 09:30:46814

浅析TSMC和FinFET工艺技术的Mentor解决方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工艺的认证。Nitro-SoCTM 布局和布线系统也通过了认证,可以支持 TSMC 的 12FFC 工艺技术
2017-10-11 11:13:422213

4巨头强强联手合作开发7纳米工艺CCIX测试芯片

赛灵思、Arm、Cadence公司今日宣布一项合作,将共同构建首款基于7纳米FinFET工艺的支持芯片间缓存一致性(CCIX)的加速器测试芯片,并计划在2018年交付
2017-09-23 10:32:123896

加速10纳米制程产量 有望超过16纳米

于美国举办年度技术论坛时表示,预估今年10纳米制程产量将达40万片12寸晶圆,2019年之后,10纳米及7纳米的晶圆产量合计将达到120万片,其中,10纳米晶圆今年产能即可望超过16纳米
2017-03-22 01:00:38859

三星vs 7nm工艺谁能领先一步?

著称,三星为了赶超选择直接跳过20nm工艺而直接开发14nmFinFET工艺虽然首先开发16nm工艺不过由于能效不佳甚至不如20nm工艺只好进行改进引入FinFET工艺,就此三星成功实现了领先。
2017-03-02 01:04:491552

携手新思科技开发7纳米制程设计平台

半导体设计公司新思科技 (Synopsys) 17 日宣布,将与晶圆代工龙头合作推出针对高效能运算 (High Performance Compute) 平台的创新技术,而这些新技术是由新思科技与合作的 7 纳米制程 Galaxy 设计平台的工具所提供。
2016-10-18 10:55:37626

瑞萨电子与合作开发支持新一代环保汽车与自动驾驶汽车的28纳米微控制器

瑞萨电子(TSE:6723瑞萨)与(TWSE:2330、NYSE:TSM)今日共同宣布,双方合作开发28纳米嵌入式闪存(eFlash)制程技术,以生产支持新一代环保汽车与自动驾驶汽车的微控制器(MCU)。
2016-09-01 15:09:35487

10纳米成功试产

  全球硅智财(IP)授权大厂英商安谋(ARM)首款採用晶圆代工龙头10奈米鳍式场效电晶体(FinFET)製程的多核心64位元ARM v8-A处理器测试晶片,已试产成功。模拟基準测试结果显示
2016-05-20 09:19:231088

ARM携手打造多核10纳米FinFET测试芯片 推动前沿移动计算未来

  2016年5月19日,北京讯——ARM今日发布了首款采用公司(TSMC)10纳米FinFET工艺技术的多核 64位 ARM®v8-A 处理器测试芯片。仿真基准检验结果显示,相较于目前常用于多款顶尖智能手机计算芯片的16纳米FinFET+工艺技术,此测试芯片展现更佳运算能力与功耗表现。
2016-05-19 16:41:50611

Cadence工具获7纳米早期设计及10纳米芯片生产认证

2016年3月22日,中国上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日宣布,用于10纳米 FinFET工艺的数字、定制/模拟和签核工具通过(TSMC)V1.0设计参考手册(DRM)及SPICE认证。
2016-03-22 13:54:54982

16纳米制程产能 苹果及两岸芯片厂几乎全包

2016年除了苹果(Apple)是16纳米制程最重要客户外,包括联发科、海思及展讯均积极在台电导入16纳米制程量产,大幅拉抬两岸IC设计业者在台先进制程投片比重,2016年16纳米制程产能除了供应苹果产品需求,其他产能几乎已被两岸IC设计业者全包。
2016-02-26 08:10:42854

第三代16纳米出击 2016年可望横扫市场

第三代16纳米FinFET制程从第4季起,大量对客户投石问路,这也是口中的低价版本,随着攻耗和效能的改善,以及价格的修正,可望在2016年全面提升FinFET制程市占率。
2015-10-16 07:47:03757

16纳米来了!试产16nm FinFET Plus

昨日官方宣布,16nm FinFET Plus(简称16FF+)工艺已经开始风险性试产。16FF+是标准的16nm FinFET的增强版本,同样有立体晶体管技术在内,号称可比20nm SoC平面工艺性能提升最多40%,或者同频功耗降低最多50%。
2014-11-14 09:31:582020

狂砸100亿美元 引爆FinFET市场战局

昨日宣布其将在未来一年内调用至少100亿美元的经费来增加在16nm FinFET芯片的工业生产。旨在进一步提升其在FinFET技术上的领先地位。
2014-10-17 16:33:39943

Cadence16纳米FinFET+制程推出IP组合

美国加州圣何塞(2014年9月26日)-全球知名的电子设计创新领导者Cadence设计系统公司(NASDAQ: CDNS)今日宣布为16纳米FinFET+ 制程推出一系列IP组合。
2014-10-08 19:19:22869

Cadence数字与定制/模拟工具获认证 合作开发FinFET工艺

美国加州圣何塞(2014年9月26日)-全球知名电子设计创新领先公司Cadence设计系统公司(NASDAQ: CDNS)今日宣布,其数字和定制/模拟分析工具已通过公司16FF+制程的V0.9
2014-10-08 19:10:45620

采用CadenceFinFET单元库特性分析解决方案

全球知名电子设计创新领先公司Cadence设计系统公司 (NASDAQ: CDNS),今日宣布采用了Cadence®16纳米FinFET单元库特性分析解决方案。
2014-10-08 19:03:221538

Cadence宣布推出基于16纳米FinFET制程DDR4 PHY IP

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布,立即推出基于16纳米FinFET制程的DDR4 PHY IP(知识产权)。
2014-05-21 09:44:541653

TSMC扩大与Cadence在Virtuoso定制设计平台的合作

为专注于解决先进节点设计的日益复杂性,全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布,已与Cadence在Virtuoso定制和模拟设计平台扩大合作以设计和验证其尖端IP。
2013-07-10 13:07:23775

Cadence设计工具通过16nm FinFET制程认证

Cadence系统芯片开发工具已经通过(TSMC) 16纳米 FinFET制程的设计参考手册第0.1版与 SPICE 模型工具认证,客户现在可以享用Cadence益华电脑流程为先进制程所提供的速度、功耗与面积优势。
2013-06-06 09:26:451170

Xilinx与合作采用16FinFET工艺,打造高性能FPGA器件

,采用公司先进的16纳米FinFET16FinFET工艺打造拥有最快上市、最高性能优势的FPGA器件。
2013-05-29 18:21:14797

认可Cadence Tempus时序签收工具用于20纳米设计

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布,(TSMC)在20纳米制程对全新的Cadence® Tempus™时序签收解决方案提供了认证。该认证
2013-05-24 11:31:171254

Altera与积在55纳米嵌入式闪存工艺技术领域展开合作

Altera公司与公司今日共同宣布在55纳米嵌入式闪存 (EmbFlash) 工艺技术上展开合作,Altera公司将采用公司的55纳米前沿嵌入式闪存工艺技术生产可程序器件,广泛支持汽车及工业等各类市场的多种低功耗、大批量应用。
2013-04-16 09:05:09883

ARM携手Cadence推出首款TSMC16纳米FinFET制程Cortex-A57 64位处理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作细节,揭示其共同开发首款基于16纳米FinFET制程的ARM®Cortex™-A57处理器,实现对16纳米性能和功耗缩小的承诺。
2013-04-07 13:46:441428

ARM携手成功流片16nm ARM Cortex-A57处理器

微处理器设计公司ARM与今天共同宣布,首个采用下下代16nm工艺制程FinFET技术生产的ARM Cortex-A57处理器已成功流片。Cortex-A57处理器为ARM旗下性能最高的处理器。
2013-04-03 09:05:051108

Cadence采用FinFET技术流片14纳米芯片

该14纳米产品体系与芯片是ARM、Cadence与IBM之间在14纳米及以上高级工艺节点上开发系统级芯片(SoC)多年努力的重要里程碑。使用FinFET技术以14纳米标准设计的SoC能够大幅降低功耗。 这
2012-11-16 14:35:551181

16纳米FinFET制程明年到来

在10月16日的年度大会中,宣布制订了20nm平面、16nmFinFET和2.5D发展蓝图。也将使用ARM的第一款64位元处理器V8来测试16nmFinFET制程
2012-10-23 09:18:54771

TSMC持续开发先进工艺技术节点 中国IC设计发展可期

随着芯片微缩,开发先进工艺技术的成本也越来越高。TSMC对外发言人孙又文表示,会继续先进工艺技术节点的投入和开发,今年年底将推出20nm工艺
2012-08-30 14:34:301721

ARM与签署新协议引入FinFET工艺

知名芯片设计厂商ARM公司日前与公司签订了一份为期多年的新协议,根据该协议,双方将就使用FinFET工艺制造下一代64bit ARM处理器产品方面进行合作
2012-07-24 13:52:57874

和ARM合作范围扩展至20纳米制程以下

昨日,半导体代工厂和ARM达成一项多年期的合作协议,双方合作的范围将延续至20纳米制程以下。ARM官方表示,双方技术合作的目的,是让ARM芯片可运用于FinFET (鳍式场效晶体管
2012-07-24 10:41:12437

苹果合作伙伴TSMC加速量产28纳米芯片

TSMC已经准备量产28纳米工艺的ARM处理器了。TSMC在2011年第四季度开始从28纳米芯片获得营收,目前28纳米工艺芯片占有公司总营收的额5%。在今年晚些时候,TSMC将加速28纳米芯片的生
2012-04-18 10:22:37788

20纳米设计达阵

晶圆代工龙头(2330)研发副总经理蒋尚义昨(18)日重申,已经和计算机处理器架构平台供应商安谋(ARM)完成第一个20纳米设计案
2011-11-21 09:30:28789

ARM和完成首个20纳米Cortex-A15处理器设计

ARM与台湾晶圆代工大厂(TSMC)日前共同宣布,双方已顺利合作完成首件采用20纳米工艺技术生产的ARM Cortex-A15处理器设计定案(Tape Out)。藉由在开放创新平台上建构完成的20纳米
2011-10-24 09:32:56820

ARM与联拓展长期IP合作伙伴关系至28纳米

ARM公司与全球领先的半导体晶圆代工商联近日共同宣布达成长期合作协议,将为联的客户提供已经通过联28HPM工艺技术验证的ARM Artisan物理IP解决方案。这项最新的28纳米工艺技术
2011-10-13 09:32:44590

中国采用28纳米技术开发芯片

中国顶尖设计公司已经采用28纳米尖端技术开发芯片,而本地9.2%无晶圆厂半导体公司亦采用先进的45纳米或以下的工艺技术进行设计及大规模量产
2011-09-13 09:00:403172

与联电大客户赛灵思合作28纳米产品

与联电大客户赛灵思合作28纳米产品 外电引用分析师资讯指出,联电大客户赛灵思(Xilinx)3月可能宣布与展开28纳米制程合作28纳米已确定取得富
2010-01-19 15:59:551015

与富士通合作开发28纳米芯片

与富士通合作开发28纳米芯片 据台湾媒体报道,富士通旗下富士通微电子近期将派遣10到15名工程师与合作开发28纳米芯片,预计今年底将出货富士
2010-01-14 09:10:17750

中芯国际将45纳米工艺技术延伸至40纳米以及55纳米

中芯国际将45纳米工艺技术延伸至40纳米以及55纳米 上海2009年10月14日  -- 中芯国际集成电路制造有限公司(“中芯国际”,纽约
2009-10-15 08:22:44759

率先量产40纳米工艺

率先量产40纳米工艺 公司日前表示,40纳米泛用型(40G)及40纳米低耗电(40LP)工艺正式进入量产,成为专业集成电路制造服务领域唯一量产40纳米工艺的公司
2008-11-22 18:27:07672

已全部加载完成