0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动

完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>

3天内不再提示

完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>

3天内不再提示

标签 > EDA软件

EDA软件

+关注6人关注

进入我国并具有广泛影响的EDA软件是系统设计软件辅助类和可编程芯片辅助设计软件:Protel、PSPICE、multiSIM10(原EWB的最新版本)、OrCAD、PCAD、LSIIogic、MicroSim,ISE,modelsim等等。

文章:74 浏览:18410 帖子:6

eda软件技术

Rigid-Flex弯曲设计规则简析

如果弯曲半径很小,并且弯曲180度,就如同电路折叠在一起,谓之折叠;如果弯曲轴向并非垂直于基板边沿,多个弯曲组合在一起,电路如同扭曲一般,谓之扭曲。

2023-03-14 标签:电路板EDA软件ChatGPT 247 0

如何避免PADS设计的PCB出现漏孔漏槽的问题

PADS是一款非常流行的PCB EDA软件之一。PADS包括PADS Logic、PADS Layout和PADS Router。但PADS设计的PCB...

2022-11-21 标签:pcbEDA软件PADS软件 1566 0

介绍数字芯片设计的十大流程

在明确芯片的设计需求之后,系统架构师会把这些市场需求转换成芯片的规格指标,形成芯片的Spec,也就是芯片的规格说明书。这个说明书会详细描述芯片的功能、性...

2022-11-18 标签:matlabEDA软件模拟芯片 1010 0

如何利用EDA软件对PCB进行阻抗控制

如何利用EDA软件对PCB进行阻抗控制

常见的信号,如PCI总线、PCI-E总线、USB、以太网、DDR内存、LVDS信号等,均需要进行阻抗控制。阻抗控制最终需要通过PCB设计实现,对PCB板...

2019-12-31 标签:pcbEDA软件阻抗控制 1757 0

电路设计中常用的EDA软件介绍

中国已走到了WTO的门口,随着WTO的加入,电路行业将会受到较大的冲击,许多从事电路设计工作的人员对EDA软件并不熟悉。笔者此文的目的就是让这些同业者对...

2019-11-19 标签:PROTELEWBorcad 8848 0

如何利用Protel99SE软件进行电路仿真设计

如何利用Protel99SE软件进行电路仿真设计

直接调用仿真库内的器件导致仿真失败的原因是Protel99SE仿真库内的模型不能完整和全面地描述电路的性能。

2019-11-18 标签:PROTEL99SEEDA软件 6863 0

如何利用Protel99SE软件进行模块电路和数字电路的仿真设计

如何利用Protel99SE软件进行模块电路和数字电路的仿真设计

Protel99SE是Protel公司2000年推出的最新版本,内部集成了功能强大的模数混合仿真器,采用Spice仿真内核,含有丰富的器件模型库

2019-11-15 标签:PROTEL99SE数字电路EDA软件 1867 0

目前的主流一些PCB软件介绍

从开始的众家厂商在自己擅长的领域发展,到后期不断地修改和完善,或优存劣汰、或收购兼并、或强强联合,现在在国内被人们熟知的厂商屈指可数:Altium、Ca...

2019-10-24 标签:PCB软件EDA软件华秋DFM 30339 0

如何控制PCB走线的阻抗

如何控制PCB走线的阻抗

没有阻抗控制的话,将引发相当大的信号反射和信号失真,导致设计失败。常见的信号,如PCI总线、PCI-E总线、USB、以太网、DDR内存、LVDS信号等,...

2019-09-11 标签:pcbEDA软件阻抗控制 8899 0

什么是阻抗控制如何对PCB进行阻抗控制

什么是阻抗控制如何对PCB进行阻抗控制

阻抗控制最终需要通过PCB设计实现,对PCB板工艺也提出更高要求,经过与PCB厂的沟通,并结合EDA软件的使用,按照信号完整性要求去控制走线的阻抗。

2019-09-06 标签:pcbEDA软件阻抗控制 11380 0

查看更多>>

eda软件资讯

广立微EDA软件包括测试芯片的自动化设计软件

广立微EDA软件包括测试芯片的自动化设计软件 日前广立微在投资者互动平台表示,广立微EDA软件包括测试芯片的自动化设计软件,也包括被广义定义为制造类ED...

2022-11-29 标签:eda自动化EDA软件 521 0

IC设计底层工具EDA发展加速 上海立芯发布两款数字后端工具

IC设计底层工具EDA发展加速 上海立芯发布两款数字后端工具 日前华鑫证券在一篇计算机行业的研究报告中指出,国产化及数据要素化驱动数字经济长牛;比如国产...

2022-11-22 标签:IC设计云计算eda 329 0

EDA探索之路 发现更多国产芯片新机遇

仅在2022年下半年,因为诸多因素影响,身处半导体行业的我们经常性地会看到行业内巨头裁员、市场营收下降等很多负面消息:这无外乎是一种国际半导体行业正处在...

2022-11-11 标签:封装edaEDA软件 350 0

美国对EDA软件实施新的出口管制

美国对EDA软件实施新的出口管制 昨天美国又启动了新一轮的EDA软件出口管制,美国商务部对设计GAAFET(全栅场效应晶体管)结构集成电路所必须的EDA...

2022-08-16 标签:edaEDA软件GAA 607 0

美芯片法案对中国芯片产业意味什么 EDA软件是否受限

美芯片法案对中国芯片产业意味什么 EDA软件是否受限 在阻碍我国半导体产业发展上,美国似乎不会停止,保持警惕、加速发展自我是必须要做。拜登的美芯片法案对...

2022-08-11 标签:芯片集成电路半导体 2589 0

安路科技SF1系列FPSoC

SF1S60CG121I器件可实现动态显示多幅图片功能,其中CPU负责将多幅图片从SD卡中读取存储到PSRAM中,FPGA则负责把多幅图片按照顺序从PS...

2022-07-05 标签:EDA软件FPGA芯片 756 0

新思科技携手AMD发布第三代处理器AMD EPYC 7003

借助内置于VCS的测试平台和断言功能,新思科技VCS功能仿真器的AMD EPYC处理器基准测试显示,与第三代标准AMD EPYC 7003系列处理器相比...

2022-04-12 标签:处理器amd新思科技 1432 0

云中EDA如何推动半导体创新 云就绪EDA和IP产品组合

作者:Sandeep Mehndiratta,副总裁;Sridhar Panchapakesan,项目管理总监;和技术营销总监 Teng-Kiat Le...

2022-03-25 标签:IPeda数据中心 7419 0

晶拓半导体为华为提供全自动化智能制造解决方案

电子发烧友网报道(文/李弯弯)近段时间,华为又密集投资了多家公司,包括晶拓半导体、深迪半导体、上海赛美特软件科技有限公司、湖南德智新材料有限公司,涉及半...

2021-12-14 标签:半导体华为EDA软件 2525 0

上海贝岭2支队伍荣获“国产EDA实战赛一等奖”

上海贝岭2支队伍荣获“国产EDA实战赛一等奖”

2021“张江康桥杯”长三角集成电路技能大赛 国产EDA实战赛一等奖 9月5日下午,由上海市总工会指导,上海浦东新区总工会、上海浦东新区科技和经济委员会...

2021-09-23 标签:EDA工具芯片设计eda 4721 0

查看更多>>

eda软件DIY创意

查看更多>>

相关标签

相关话题

换一批
  • 基站测试
    基站测试
    +关注
    802.11ac与11基站测试(base station tests) 在基站设备安装完毕后,对基站设备电气性能所进行的测量。n的区别,802.11n无线网卡驱动,802.11n怎么安装。
  • 扫频仪
    扫频仪
    +关注
    在电子测量中,经常遇到对网络的阻抗特性和传输特性进行测量的问题,其中传输特性包括增益和衰减特性、幅频特性、相频特性等。用来测量前述特性的仪器我们称为频率特性测试仪,简称扫频仪。
  • programmer
    programmer
    +关注
    Programmer中文名计算机程序编制员,分为程序设计人员和程序编码员。解释为:计算机程序编制员程序员是从事程序开发、维护的专业人员。一般我们将程序员分为程序设计人员和程序编码员编程是他们的工作。
  • 中文版
    中文版
    +关注
  • Edison
    Edison
    +关注
  • mathtype
    mathtype
    +关注
    mathtype是一款专业的数学公式编辑工具,能够帮助用户在各种文档中插入复杂的数学公式和符号。
  • 主控芯片
    主控芯片
    +关注
    主控芯片是主板或者硬盘的核心组成部分,是联系各个设备之间的桥梁,也是控制设备运行工作的大脑。在主板中,两大芯片是最重要的,一个是南桥芯片,它控制着扩展槽,USB接口,串口,并口,1394接口,VGA接口,等,它主要负责外部接口和内部cpu的联系,而另一个是北桥芯片,它控制着CPU的类型,型号,主板的总线频率,内存类型,容量,显卡,等。
  • 注册机
    注册机
    +关注
    注册机是一种破解软件,它的用途基本在两个范围之内,一个就是由于一些软件涉及版权问题,要完全使用的话需要注册,或者有试用期限限制,或者只有注册之后才可以享受全功能。第二个就是某些网站、网页通过正常注册用户会很复杂,或者是网页、网站管理员需要大量注册内测用户的时候就可以用注册机来达到简单快速的注册用户了。
  • uVision4
    uVision4
    +关注
  • MPLAB IDE
    MPLAB IDE
    +关注
  • 调试软件
    调试软件
    +关注
  • 集成开发环境
    集成开发环境
    +关注
    集成开发环境是用于提供程序开发环境的应用程序,一般包括代码编辑器、编译器、调试器和图形用户界面等工具。集成了代码编写功能、分析功能、编译功能、调试功能等一体化的开发软件服务套。所有具备这一特性的软件或者软件套(组)都可以叫集成开发环境。
  • Kit
    Kit
    +关注
  • origin
    origin
    +关注
  • 三相异步电机
    三相异步电机
    +关注
    三相异步电机(Triple-phase asynchronous motor)是感应电动机的一种,是靠同时接入380V三相交流电流(相位差120度)供电的一类电动机,由于三相异步电动机的转子与定子旋转磁场以相同的方向、不同的转速旋转,存在转差率,所以叫三相异步电动机。
  • poe交换机
    poe交换机
    +关注
      POE (Power Over Ethernet)指的是在现有的以太网Cat.5布线基础架构不作任何改动的情况下,在为一些基于IP的终端(如IP电话机、无线局域网接入点AP、网络摄像机等)传输数据信号的同时,还能为此类设备提供直流电的技术,就是支持以太网供电的交换机。
  • FlyMcu
    FlyMcu
    +关注
  • devops
    devops
    +关注
    DevOps(Development和Operations的组合词)是一组过程、方法与系统的统称,用于促进开发(应用程序/软件工程)、技术运营和质量保障(QA)部门之间的沟通、协作与整合。
  • vfp
    vfp
    +关注
  • CadSoft
    CadSoft
    +关注
  • CCSv5
    CCSv5
    +关注
  • Robot
    Robot
    +关注
  • 调试助手
    调试助手
    +关注
  • putty
    putty
    +关注
  • 修复工具
    修复工具
    +关注
  • Axure
    Axure
    +关注
  • PCB设计软件
    PCB设计软件
    +关注
    pcb设计软件是根据电路原理图实现电路设计需要的功能。电路板的设计主要是版图设计,要考虑到元器件和连线的整体布局以及优化布局。pcb设计是需要计算机辅助设计来实现的。
  • 刷机工具
    刷机工具
    +关注
  • 计算工具
    计算工具
    +关注
  • 麦克斯韦方程组
    麦克斯韦方程组
    +关注

关注此标签的用户(6人)

jf_62194339 橙八两 jf_00561657 水涛_011 袁德奎 jf_19991509

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba 兆易创新 OMRON Sensirion Broadcom Semtech 旺宏
英飞凌 Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI
NXP Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能
Maxim MPS 瑞萨 亿光 Exar 菲尼克斯 CUI WIZnet
Molex Yageo Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭
KOA Echelon Coilcraft LRC trinamic 沁恒股份
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 harmonyos