0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA学习交流

文章:122 被阅读:130.3w 粉丝数:424 关注数:1 点赞数:164

本专栏专注于FPGA的学习交流,更新关于FPGA学习的相关知识点以及相关学习资料。

广告

简谈FPGA的设计规范

       大家好,又到了每日学习的时间了,有一段时间没有正经更新了
的头像 FPGA学习交流 发表于 11-14 13:54 5423次阅读

简谈FIR数字信号滤波器

数字滤波器在信号处理领域中,对于信号处理的实时性、快速性的要求越来越高。而在许多信息处理过程中,如对信号的过滤
的头像 FPGA学习交流 发表于 11-01 17:35 3820次阅读
简谈FIR数字信号滤波器

简谈卷积

大家好,之前有文章说到信号处理,说到卷积,那今天咱们来聊一聊卷积。关于卷积,之前在大学时候学信号与系统的时候就
的头像 FPGA学习交流 发表于 11-01 17:35 3782次阅读

FPGA在人工智能时代的独特优势

      今天分享一篇给大家,为了防止断更,后续会分享更多的比较好的文章,文章出自哪里,本人都会标....
的头像 FPGA学习交流 发表于 10-23 20:23 2845次阅读
FPGA在人工智能时代的独特优势

Xilinx RapidIO核详解

       大家好,最近比较忙好久没有更新了,今天分享一篇给大家,为
的头像 FPGA学习交流 发表于 10-15 17:29 3953次阅读

简谈基于FPGA的千兆以太网

大家好,又到了学习时间了,学习使人快乐。今天我们来简单的聊一聊以太网,以太网在FPGA学习中属于比较....
的头像 FPGA学习交流 发表于 08-05 10:28 12320次阅读
简谈基于FPGA的千兆以太网

简谈PCIe的软件配置方式

大家好,又到了每日学习的时间了,今天我们来聊一聊PCIe的软件配置方式。 关于PCIe的软件配置和初....
的头像 FPGA学习交流 发表于 07-29 09:26 7083次阅读
简谈PCIe的软件配置方式

硬件设计中教你如何正确的约束时钟

现在的硬件设计中,大量的时钟之间彼此相互连接是很典型的现象。为了保证Vivado优化到关键路径,我们必须要理解
的头像 FPGA学习交流 发表于 07-15 15:35 5753次阅读

FPGA之Verilog HDL 的四大怪(李凡老师授课摘录)

参考链接:http://www.fpgaw.com/thread-112099-1-1.html跟李凡老师学F
的头像 FPGA学习交流 发表于 07-15 15:35 3955次阅读

跟李凡老师学FPGA扩频通信D01:串行通信基础(课堂笔记)

跟李凡老师学FPGA扩频通信D01:串行通信基础(课堂笔记)用笨笔头整理课堂笔记,用以备忘,温故而知新。整理文
的头像 FPGA学习交流 发表于 07-15 15:35 3963次阅读
跟李凡老师学FPGA扩频通信D01:串行通信基础(课堂笔记)

简谈 SDRAM的工作原理

SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储
的头像 FPGA学习交流 发表于 07-15 15:35 3480次阅读

二进制转BCD码需要几步?——漫谈大四加三算法的Verilog实现

Hi,大家好!我是至芯科技的李老师。今天讲课的题目比较有意思,它是一个小问题:把二进制变成BCD码需要几步?请
的头像 FPGA学习交流 发表于 04-26 18:29 13754次阅读
二进制转BCD码需要几步?——漫谈大四加三算法的Verilog实现

新手小白、初级入门都可以入手的FPGA开发板

新手小白初级入门都可以入手的FPGA开发板,至芯科技ZX-2开发板 FPGA主芯片: ALTERA ....
的头像 FPGA学习交流 发表于 01-04 13:43 25361次阅读

python基础:如何注释代码块

      大家好,又到了每日学习的时间了,最近博主在和python打交道,今天我们来聊一聊python一些基
的头像 FPGA学习交流 发表于 12-26 22:03 4856次阅读
python基础:如何注释代码块

FPGA学习算法系列:彩色转灰度

大家好,又到了每日学习的时间了,今天我们来聊一聊FPGA学习中可以遇到的一些算法,今天就聊一聊彩色转....
的头像 FPGA学习交流 发表于 10-26 10:48 5068次阅读

FPGA学习:使用matlab和ISE 创建并仿真ROM IP核

大家好,又到了每日学习的时间了,今天我们来聊一聊使用matlab和ISE 创建并仿真ROM IP核。本人想使用
的头像 FPGA学习交流 发表于 10-25 20:20 3355次阅读
FPGA学习:使用matlab和ISE 创建并仿真ROM IP核

FPGA学习:使用matlab和ISE 创建并仿真ROM IP核

大家好,又到了每日学习的时间了,今天我们来聊一聊使用matlab和ISE 创建并仿真ROM IP核。本人想使用
的头像 FPGA学习交流 发表于 10-25 20:20 4611次阅读
FPGA学习:使用matlab和ISE 创建并仿真ROM IP核

FPGA Verilog实现基本的图像滤波处理仿真

1、用matlab代码,准备好把图片转化成Vivado Simulator识别的格式,即每行一个数据:img
的头像 FPGA学习交流 发表于 10-19 13:39 5009次阅读

FPGA 实现 高斯滤波

1、高斯滤波器的实现方式方法1:与高斯核直接进行卷积实现,这样使用的资源和乘法器 加法器都会很多。例如3*3窗
的头像 FPGA学习交流 发表于 10-19 13:39 3803次阅读
FPGA 实现 高斯滤波

FPGA verilog实现中值滤波

一、实现步骤:1.查看了中值滤波实现相关的网站和paper;2.按照某篇paper的设计思想进行编程实现;3.
的头像 FPGA学习交流 发表于 10-12 14:58 4941次阅读
FPGA verilog实现中值滤波

Vivado防止信号被综合掉的三种方法

1、 信号前面将keep  hierarchy选择YES ,或者选择soft(在综合时保持层次),这样有利于你
的头像 FPGA学习交流 发表于 10-09 15:22 5141次阅读

MATLAB 2013a 的 license 过期 的解决办法

两种解决办法:自己亲测可以使用第一种办法:修改系统的时间到你的license未过期的时候,你就依然可以使用MA
的头像 FPGA学习交流 发表于 10-09 15:22 5687次阅读

简谈 SDRAM的工作原理

SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储
的头像 FPGA学习交流 发表于 10-09 15:22 4672次阅读

fpga 有符号数、无符号数

 在设计中,所有的算数运算符都是按照无符号数进行的。如果要完成有符号数计算,对于加、减操作通过补码处理即可用无
的头像 FPGA学习交流 发表于 10-09 15:22 5403次阅读
fpga 有符号数、无符号数

always block內省略else所代表的電路 (SOC) (Verilog)

Abstract在Verilog中,always block可以用來代表Flip-Flop, Combinat
的头像 FPGA学习交流 发表于 09-28 11:16 4341次阅读
always block內省略else所代表的電路 (SOC) (Verilog)

FPGA学习系列:38. 电子琴的设计

设计背景:FPGA的学习也算是是一种日积月累才能有成就的过程,前面我们学习了各个模块,各个芯片的配置等等,之后
的头像 FPGA学习交流 发表于 09-26 12:51 5941次阅读

FPGA Verilog中计数器的2中写法对比

功能是,计数记到24,清零,重新计数….第一种写法:module   count_debug        (
的头像 FPGA学习交流 发表于 09-26 12:51 5230次阅读

FPGA学习系列:内存128M的flash芯片设计

设计背景: FLASH闪存闪存的英文名称是Flash Memory,一般简称为Flash,它属于内存....
的头像 FPGA学习交流 发表于 09-14 11:49 12520次阅读
FPGA学习系列:内存128M的flash芯片设计

FPGA学习系列:37. USB2.0的设计

设计背景:USB(Universal Serial Bus2.0,通用串行总线)是一种应用在计算机领域的新型接
的头像 FPGA学习交流 发表于 09-13 12:07 4324次阅读
FPGA学习系列:37. USB2.0的设计

FPGA学习系列:36.实时时钟的设计

设计背景:    DS1302 是美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟电路,它可以
的头像 FPGA学习交流 发表于 09-10 17:12 5885次阅读
FPGA学习系列:36.实时时钟的设计