0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

A/X家FPGA架构及资源评估

FPGA之家 来源:FPGA之家 2023-04-10 10:24 次阅读

评估对比xilinx以及altera两家FPGA芯片逻辑资源。

首先要说明,

现今FPGA除了常规逻辑资源,还具有很多其他片内资源比如块RAMDSP单元、高速串行收发器、PLL、ADC等等,用以应对不同的场合,逻辑量只能作为选型的重要依据之一。

不同厂家FPGA架构各异,具有很多独有的专利设计,量级相当的FPGA在应对不同应用场景下(比如视频处理、通讯总线、加密算法)时表现可能会差异很大,逻辑资源评估只是作为通常意义上的选型参考。

本文以占有率最大的X家和A家为例来进行比较。

首先对比下两家的芯片架构,

Altera LUT4架构(以入门级MAX10为例,以下材料来源于m10_handbook)

基本的逻辑单元由LAB组成,每个LAB包含16个LE,LE主要由一个LUT-4以及一个触发器。

fda60378-d73c-11ed-bfe3-dac502259ad0.jpg

fdc2030c-d73c-11ed-bfe3-dac502259ad0.png

fdd86fc0-d73c-11ed-bfe3-dac502259ad0.png

Altera ALM架构(以Arria10系列为参考)

基本逻辑单元LAB包含10xALM,ALM全程为Adaptive Logic Module,具有8输入和2输出,一个ALM可以配置成不同的LUT组合,比如2个单独LUT4、单独LUT5+LUT3、共享一个输入的LUT5+LUT4等等。ALM相比单独的LUT4架构显然具有更大的灵活性。

fdefee98-d73c-11ed-bfe3-dac502259ad0.jpg

fe0b2de8-d73c-11ed-bfe3-dac502259ad0.jpg

(a10_handbook.pdf)

Xilinx(以7系列为例)

赛灵思基本逻辑单元叫做CLB,CLB内有具有两片Slice,每个Slice由4片LUT6及8个触发器组成。可见每个CLB约等于8 x LUT6。

fe2c2f2a-d73c-11ed-bfe3-dac502259ad0.png

fe439318-d73c-11ed-bfe3-dac502259ad0.jpg

由于最早的FPGA多为基于LUT4组成,惯用的做法是用LUT4的数量近似表示逻辑量。现在FPGA不一定是基于LUT4,但可以转换成等效LUT4数量。XIlinx的叫做LC,ALTERA叫做LE,都可以理解成一个LUT4加触发器的单元的数量。

fe64a0e4-d73c-11ed-bfe3-dac502259ad0.png

对MAX10来说,LE的数量就等于LUT4的数量,而xilinx 7系列基于LUT6,LUT与LC有如下换算关系:

fe778dee-d73c-11ed-bfe3-dac502259ad0.jpg

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • fpga
    +关注

    关注

    1548

    文章

    20248

    浏览量

    588452
  • 架构
    +关注

    关注

    1

    文章

    395

    浏览量

    25019
  • 加密算法
    +关注

    关注

    0

    文章

    190

    浏览量

    25253
收藏 人收藏

    评论

    相关推荐

    Cortex-A15 / Xilinx Artix-7 FPGA处理器设计的评估

    评估板简介创龙TL5728F-EVM是一款基于TI Sitara系列AM5728双核ARM Cortex-A15 +浮点双核DSP C66x + Xilinx Artix-7 FPGA处理器
    发表于 09-23 10:49

    FPGA 内部详细架构 精选资料分享

    资源(Interconnect)4.嵌入式块 RAM(BRAM)(Block RAM)5.底层内嵌功能单元6.内嵌专用硬核7.致谢FPGA 芯片整体架构FPGA 芯片整体架构如下所示,大体按照...
    发表于 07-30 08:10

    XILINX FPGA 芯片整体架构是如何构成的

    FPGA 芯片整体架构是如何构成的?XILINX FPGA 芯片有哪些资源
    发表于 10-29 06:26

    如何评估FPGA资源

    FPGA 过程中,通常需要对资源做出评估,下面简单谈谈如何评估 FPGA资源。 FF 和 LUT 的数目:这个在写出具体代码之前,初学者通常没法估算,但资深 FPGA 工程师会估算出一
    发表于 12-28 07:59 8次下载

    FPGA的RAM存储资源详细资料说明

    FPGA的RAM存储资源详细资料说明包括了:1、 FPGA存储资源简介,2、 不同厂家的 Block RAM 布局,3、 块 RAM 和分布式 RAM 资源,4、 Xilinx Block RAM 架构及应用
    发表于 12-09 15:31 10次下载
    <b>FPGA</b>的RAM存储<b>资源</b>详细资料说明

    (06)FPGA资源评估

    FPGA资源评估1.1 目录1)目录2)FPGA简介3)Verilog HDL简介4)FPGA资源评估5)结语1.2 FPGA简介FPGA(Field Programmable Gate
    发表于 12-29 19:40 6次下载
    (06)<b>FPGA</b><b>资源</b><b>评估</b>

    Xilinx FPGA底层资源架构与设计规范

    FPGA内的CLBs,SelectIO和Clocking资源,适合对FPGA设计有时序要求,却还没有足够了解的朋友。
    发表于 03-21 14:48 4520次阅读
    Xilinx <b>FPGA</b>底层<b>资源</b><b>架构</b>与设计规范

    浅析如何评估FPGA资源

    FPGA过程中,通常需要对资源做出评估,下面简单谈谈如何评估FPGA资源
    发表于 02-15 15:09 3288次阅读

    FPGA布局及资源优化

    FPGA架构设计问题 我们知道,FPGA片上分布着各种资源,如时钟,serdes,RAM,LUT,IO等。在进行FPGA规划时候,应当需要知道项目设计需求,以及需求各模块之间的数据交织情况,这样可以避免
    的头像 发表于 01-07 10:15 4304次阅读
    <b>FPGA</b>布局及<b>资源</b>优化

    FPGA架构中的全局时钟资源介绍

    资源。全局时钟是一个专用的互连网络,专门设计用于到达FPGA中各种资源的所有时钟输入。这些网络被设计成具有低偏移和低占空比失真、低功耗和改进的抖动容限。它们也被设计成
    的头像 发表于 03-22 10:09 1w次阅读
    <b>FPGA</b><b>架构</b>中的全局时钟<b>资源</b>介绍

    Xilinx 7系列中FPGA架构丰富的时钟资源介绍

    FPGA具有多个时钟路由资源,以支持各种时钟方案和要求,包括高扇出、短传播延迟和极低的偏移。为了最好地利用时钟路由资源,必须了解如何从PCB到FPGA获取用户时钟,确定哪些时钟路由
    的头像 发表于 03-22 10:16 3983次阅读
    Xilinx 7系列中<b>FPGA</b><b>架构</b>丰富的时钟<b>资源</b>介绍

    Xilinx 7系列FPGA架构之时钟路由资源介绍

    FPGA拥有丰富的时钟资源。各种缓冲器类型、时钟输入管脚和时钟连接,可以满足许多不同的应用需求。选择合适的时钟资源可以改善布线、性能和一般FPGA资源利用率。BUFGCTRL(最常用作BUFG
    发表于 07-22 09:40 1900次阅读

    Xilinx 7系列FPGA的时钟资源架构

    FPGA时钟资源通过专用的全局和区域I/O和时钟资源管理符合复杂和简单的时钟要求。时钟管理块(CMT)提供时钟频率合成、减少偏移和抖动过滤等功能。非时钟资源,如本地布线,不推荐用于时钟功能。
    发表于 07-28 09:07 1085次阅读

    FPGA 结构分析 -IO 资源

    资源:分析IO资源如何实现串并转换。 其中第二、三系列是对第一系列中的部分内容进行更进一步的详细描述。本篇是对于第一个系列——IO资源进行部分描述,共分为几个章节进行具体阐述。 FPGA IO资源的基本单元架构为一个个 IO tile ,下图为 IO
    的头像 发表于 12-13 13:20 711次阅读

    FPGA的BRAM资源使用优化策略

    FPGA的BRAM和LUT等资源都是有限的,在FPGA开发过程中,可能经常遇到BRAM或者LUT资源不够用的情况。
    的头像 发表于 08-30 16:12 187次阅读
    <b>FPGA</b>的BRAM<b>资源</b>使用优化策略