发 帖  
基于CH32V208通过SPI接口外接TF卡实现FATFS文件系统 FATFS CH32V208

本次主要实现SPI接口的外接TF卡实现FATFS文件系统。首先是SPI接口初始化。使用SPI1外设,对应引脚如下:*    PA4  <===========>  SCS*& ...

【飞凌RK3588开发板试用】基于pyqt5的人脸识别 人脸识别 RK3588

为了很进一步的接近项目,我用pyqtf进行项目的封状,方便以后管理。1、安装pyqt5forlinx@ok3588:~$ sudo apt install python3-pyqt5Reading package lists... Done ...

【飞凌RK3588开发板试用】安装OPENCV RK3588

下载源码:Releases - OpenCV我这里是下载最新版本4.7.0编译源码1、把下载的源码包上传到开发板,解压后在opencv下面新建一个build文件压,并进行入build文件夹。2、 ...

基于小凌派RK2206的工地检测平台设计 检测 平台 设计 RK2206

本项目由黎明职业大学薛豪炜、欧思思、盛松同学,获得福建省首届开源鸿蒙开发者大赛一等奖,感谢王国栋老师倾情供稿!一、项目背景基于现代社会大规模开发对环境和人们正常 ...

OpenHarmony L1串口功能开发 软通动力 鸿湖万联 OpenHarmony

前言OpenHarmony 3.0 LTS是面向全场景的开源分布式操作系统,能够在物联网上使用。可以支持三种系统类型,标准系统、轻量系统和小型系统。本文提供了在OpenHarmony 3.0 LT ...

【BPI-Pico-RP2040 开发板】rp2040的PIO外设介绍 开发板

树莓派Pio的相关知识,其实很多帖子都有介绍过。我以前写的一篇文章也大致讲述了Pio外设的知识。我在网上也找过不少教程和视频,感觉讲的最细的,就是微雪课堂的这一篇视频 ...

芯片那么小,封装基板走线损耗能大到哪去? 封装 芯片

一博高速先生成员:黄刚相比于一块PCB的载板,芯片封装基板的大小放在PCB板里面,可能只占其中的一小部分,然后去对比在封装基板上的走线和在PCB板上的走线,可能至少是几 ...

IP CORE 之 ROM 设计- ISE 操作工具 fpga

本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及 ...

鲁班猫:人脸识别 人脸识别

1、创建人脸采集import numpy as npimport cv2 as cvmodel_path = \"../models/yunet.onnx\"faceDetector = cv.FaceDetectorYN.create(model_path, \"\", input_size= ...

大佬们,我有个关于温度测试电路的问题想请教一下 电路设计 STM32 电路

大佬们,最近在做一个温度传感器信号的监测,才搞完电路相关的东西,如下搞个整个电路图,但是温度传感器的测试测试值(图3)咋跳动这么大啊。。10℃,开始怀疑是程序的问 ...

设计干货:PCB为什么要拼版?PCB拼版的适用方式分享 pcb

PCB为什么要拼版?拼版主要是为了满足 生产的需求 ,有些PCB板太小,不满足做夹具的要求,所以需要拼在一起进行生产。拼版也可以提高SMT贴片的 焊接效率 ,如只需要过一 ...

WCHLINK起始回生记 risc-v

前几天突然板载的wch-link的蓝灯常亮,然后识别成DAP-link的状态,怎么样接TX+GND都切换不到wch-link的状态下。经查资料,我下载到了一个wch-link1.1,转是转到了wchlink ...

【BPI-Pico-RP2040 开发板】+OLED屏的显示驱动与光强检测 oled 开发板

相对于数码管来说OLED屏具有小巧、显示信息多的特点。这里选用的是一款0.96寸的双色OLED屏,其显示分辨率为128*64像素点,并以I2C的方式来工作。本打算以开发板的2个I2C接 ...

HarmonyOS/OpenHarmony应用开发-Stage模型ArkTS语言AbilityStage HarmonyOS

AbilityStage是HAP包的运行时类。提供在HAP加载的时候,通知开发者,可以在此进行该HAP的初始化(如资源预加载,线程创建等)能力。说明 :模块首批接口从API version 9 ...

A40I adc调试移植笔记ADS1015 嵌入式开发 adc 移植

TQA40I_CoreB核心板 ,内部集成Mali400 MP2 GPU图形处理器,支持绝大部分当前流行的视频及图片格式解码;支持多种显示接口,RGB/双LVDS/HDMI/MIPI 等,支持双屏异显,最高 ...

OpenHarmony三方库适配指南 软通动力 鸿湖万联 OpenHarmony

本文以OpenHarmony-3.2-Beta4上适配modbus编译动态库为例。获取三方库使用之前要做好代码溯源,确认可用的版本,开源许可和发布方式等。通过正确的路径获取源码,可以是 ...

OpenHarmony 小型系统兼容性测试指南 软通动力 鸿湖万联 OpenHarmony

前言基于OpenHarmony开源代码研发的设备和业务应用满足OpenHarmony开源兼容性定义的技术要求,完成兼容性测试。本文详细介绍基于小型系统兼容性测试过程,指导完成测试。 ...

【正点原子DFPGL22G开发板体验】紫光同创FPGA开发环境搭建 PDS(Pango Design Suite)软件安装 FPGA PDS 紫光同创 正点原子

紫光同创FPGA开发环境搭建 PDS(Pango Design Suite)软件安装一、Pango Design Suite软件的安装请先解压PDS_2021.4-SP1.2-win64.zip;解压完成后,双击\"Setup.exe\"开 ...

Vivado在线调试方法-Vivado内嵌逻辑分析仪器的使用 FPGA开发

1,方法1-ILA IP核I、点击“IP Catalog”,在搜索框中搜索ila,双击ILA的IP。设置模块的名称,采样信号的数量,采样深度。设置采样信号的宽度,需要采集led和count信号 ...

鲁班猫0 :驱动摄像头完成拍照 摄像头 野火科技

【目的】驱动摄像头完成拍照【实验器材】1、鲁班猫0+wifi开发板2、野火MIPI CSI摄像头【软件环境】1、ubuntu20.4.02、python3.103、opencv 4.5.0【实现步骤】1、 ...

为何电压跟随器在负电压区域无法正常工作? 运放 电压跟随器

大家好:新手入门,刚接触电路设计,前来提问,多请包涵。我使用NE5532搭了一个电压跟随器,正负电源输入分别为6.0 V和-5.5 V,在正输入端接直流输入,测负输入端的电压 ...

【创作者激励】技术探索官—优秀原创作者扶持计划 电子发烧友

计划介绍本计划面向电子发烧友平台全体工程师用户,征集20位各类技术领域优秀原创内容创作者,通过输出电子相关技术性文章和视频内容,与电子发烧友共建专业、优质的行业 ...

IP CORE 之 PLL- ISE 操作工具 fpga

本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及 ...

【飞凌RK3588开发板试用】基于SDL进行GUI程序开发 RK3588 飞凌嵌入式

转自https://mp.weixin.qq.com/s/98y0wFHm8JAZRVhUiIeyMw欢迎关注公众号。前言前几篇我们体验了使用ffmpeg进行多媒体开发,本篇使用SDL来进行GUI相关的应用开发。通过 ...

【飞凌RK3588开发板试用】ffmpeg开发系列之四——基于ffplay的视频播放器 RK3588 飞凌嵌入式

前言   本板资源特别适合多媒体终端等应用场景开发,本篇基于ffmpeg的ffplay实现视频播放器的Demo。我们基于WIN11+WSL2+UBUNTU的方式搭建开发环境,搭建过程可以 ...

iTOP-RK3568开发板Android11设置语言和默认时区 RK3568 Android

修改文件:device/rockchip/rk356x/rk3568_r/rk3568_r.mk,添加如下内容:PRODUCT_PROPERTY_OVERRIDES += \\persist.sys.language=zh \\persist.sys.country=CN \\pers ...

基于ArkUI框架开发-ImageKnife渲染层重构 openharmony

ImageKnife是一款图像加载缓存库,主要功能特性如下:●支持内存缓存,使用LRUCache算法,对图片数据进行内存缓存。●支持磁盘缓存,对于下载图片会保存一份至磁盘当中。 ...

《GD32 MCU原理及固件库开发指南》粗读有感 GD32 MCU

当谈到MCU固件库开发指南时,在我的职业生涯中,我经历过许多这样的项目,并且能够提供一些有价值的见解和感受。首先,我认为读懂MCU固件库是一项巨大任务,因为底层驱动 ...

使用Vivado点量led灯-Vivado基本开发流程 FPGA开发

1,创建工程打开Vivado软件,点击新建工程。根据向导创建工程,以下以Xilin 7020开发板为例,进行介绍。注意:I,设置工程的名称,在这个步骤中可以勾选“Create project ...

鲁班猫0.无线版开箱试用 无线 fpga

很高兴能有机会参加【鲁班猫创意氛围赛】,在学习FPGA和stm32的时候,就白嫖了不少野火的视频和文档。现在有机会尝试一下野火的产品,非常高兴。先看一下板子的照片。板 ...

关闭

站长推荐 上一条 /6 下一条